scispace - formally typeset
Search or ask a question
Journal ArticleDOI

Temperature dependencies of hydrogen-induced blistering of thin film multilayers

07 May 2014-Journal of Applied Physics (American Institute of Physics)-Vol. 115, Iss: 17, pp 173510
TL;DR: In this article, the influence of sample temperature on the development of hydrogen-induced blisters in Mo/Si thin-film multilayers was investigated and it was shown that the areal number density of blisters decreases with increasing exposure temperature, whereas individual blister size increases with exposure temperatures up to ∼200°C but decreases thereafter.
Abstract: We report on the influence of sample temperature on the development of hydrogen-induced blisters in Mo/Si thin-film multilayers. In general, the areal number density of blisters decreases with increasing exposure temperature, whereas individual blister size increases with exposure temperatures up to ∼200 °C but decreases thereafter. Comparison as a function of sample temperature is made between exposures to a flux containing both hydrogen ions and neutrals and one containing only neutrals. In the case of the neutral-only flux, blistering is observed for exposure temperatures ≥90 °C. The inclusion of ions promotes blister formation at <90 °C, while retarding their growth at higher temperatures. In general, ion-induced effects become less evident with increasing exposure temperature. At 200 °C, the main effect discernable is reduced blister size as compared with the equivalent neutral-only exposure. The temperature during exposure is a much stronger determinant of the blistering outcome than either pre- or post-annealing of the sample. The trends observed for neutral-only exposures are attributed to competing effects of defect density thermal equilibration and H-atom induced modification of the Si layers. Energetic ions modify the blistering via (temperature dependent) enhancement of H-mobility and re-crystallization of amorphous Si.

Summary (2 min read)

1. Introduction

  • Hydrogen-induced blistering of materials is a complex phenomenon1-3 that can have a strong dependence on the exposure conditions, with sample temperature being one of the critical parameters.
  • In the case of exposure to a neutral-only hydrogen flux, blistering was the result of layer delamination occurring exclusively at the outermost Mo-on-Si interface.
  • This induces an overall compaction of the ML structure as the silicide layers produced are more dense than those of their original elemental constituents.
  • In addition, the relative importance of the sample temperature during hydrogen irradiation as compared with either pre- or post-annealing of the sample at higher temperatures than during the actual exposure is studied.

2. Experimental.

  • The Mo/Si ML samples investigated in this work are similar to those studied previously23-26.
  • The hydrogen source was a capillary-type thermal cracker (Oxford Applied Research TC50).
  • They were stabilized at the desired target temperature prior to commencing exposure.
  • Similar exposures were performed with the addition of a biased deflector plate near to the sample and parallel to the incident particle direction.
  • For samples exhibiting non-uniform damage, the analysis was performed in the region exhibiting the most damaged.

3.2. Effects of pre- and post-exposure annealing

  • The effects on blistering of pre- and post-annealing of samples to elevated temperature was investigated.
  • Figure 6 shows the comparison of individual blister volume versus area derived from these samples.
  • In the case of post-annealing, the change is the result of preferential growth of the larger component (compare Fig. 5 (a)&(d)).
  • The effect is most pronounced for the “thermal neutral” blisters; the number of “ion-induced” blisters formed is relatively unaffected by pre-annealing.

4. Discussion

  • The authors begin by giving a synopsis of their previous observations and interpretations of blister formation in Mo/Si MLs, before addressing how those processes are influenced by temperature-dependent effects.
  • The temperature dependencies the authors report can be accounted for on the basis of defect densities established as a function of Texposure and/or Tanneal and exposure-induced modifications to the layer structure.
  • As noted above, defects introduced by energetic ions modify the uptake and mobility of hydrogen by the ML.
  • While blisters clearly identifiable as “ion-induced” are not evident at Texposure>150 °C ion-induced pre-blister voids may still act to sequester hydrogen, thereby retarding H2-filled blister growth.
  • Thus, defect equilibration contributes to enhanced hydrogen mobility in a-Si but the effect is counteracted with increasing Tanneal by interfacial layer growth and densification.

5. Conclusion.

  • Evaluation of the effects of sample temperature on hydrogen-induced blister formation in Mo/Si multilayer (ML) samples illustrates that the temperature during exposure DOI: 10.1063/1.4875484 is the dominating factor in the development of blisters.
  • Effects related to thermally-induced changes to the ML structure and post-exposure redistribution of incorporated hydrogen are of lesser importance.
  • The general trends observed for increasing exposure temperature were of an increase in blister size and a decrease in areal number density up to 200 °C, followed by a decrease in blister size there-after.
  • In the case of the neutral-only exposures, these trends are attributed to the competing effects on a-Si layers of defect density thermal equilibration (enhancing Huptake and mobility) and H-atom induced re-crystallization (reducing H diffusion and enhancing H2 out-diffusion).
  • The inclusion of ions in the irradiating flux enhances blister formation at lower temperatures by introducing defects and strained bond that promote Huptake and diffusion.

Did you find this useful? Give us your feedback

Figures (8)

Content maybe subject to copyright    Report

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
Temperature dependencies of hydrogen-induced blistering of thin film multilayers
A.S. Kuznetsov
1
, M.A. Gleeson
1,1
and F.Bijkerk
1,2
1
DIFFER Dutch Institute for Fundamental Energy Research, Postbus 1207, 3430 BE Nieuwegein, The
Netherlands.
2
MESA
+
Institute for Nanotechnology, University of Twente, Postbus 217, 7500 AE Enschede, The
Netherlands.
Abstract
We report on the influence of sample temperature on the development of hydrogen-induced
blisters in Mo/Si thin-film multilayers. In general, the areal number density of blisters
decreases with increasing exposure temperature, whereas individual blister size increases with
exposure temperatures up to ~200 °C but decreases thereafter. Comparison as a function of
sample temperature is made between exposures to a flux containing both hydrogen ions and
neutrals and one containing only neutrals. In the case of the neutral-only flux, blistering is
observed for exposure temperatures 90 °C. The inclusion of ions promotes blister
formation at <90 °C, while retarding their growth at higher temperatures. In general, ion-
induced effects become less evident with increasing exposure temperature. At 200 °C the
main effect discernable is reduced blister size as compared with the equivalent neutral-only
exposure. The temperature during exposure is a much stronger determinant of the blistering
outcome than either pre- or post-annealing of the sample. The trends observed for neutral-
only exposures are attributed to competing effects of defect density thermal equilibration
and H-atom induced modification of the Si layers. Energetic ions modify the blistering via
(temperature dependent) enhancement of H-mobility and re-crystallization of amorphous Si.
1
Corresponding author: M.A.Gleeson@differ.nl
1

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
1. Introduction
Hydrogen-induced blistering of materials is a complex phenomenon
1-3
that can have
a strong dependence on the exposure conditions, with sample temperature being one of the
critical parameters. The temperature dependence of blister formation is an open issue in
fusion research where hydrogen retention
4-6
and hydrogen-induced damage to plasma-facing
materials
7-9
are being investigated. In relation to thin films, blister and crater formation
occurs during annealing of hydrogenated Si-Ge multilayer (ML) structures
10
. Heating can
induce structural modifications such as relaxation and crystalline phase transformation,
promote diffusion and nucleation, and in the case of mixed-material systems induce
intermixing and compound formation. All such changes influence the behaviour of
hydrogen within the system.
The selection of appropriate annealing/exposure temperature is important for the
process optimization in thin layer transfer techniques both for standard ion implantation and
strained-layer driven layer detachment
11-21
. Localization of either hydrogen or stabilized
vacancy complexes (depending on the specifics of the strain states) at interfaces in layered
materials is a commonly observed phenomenon. It is proposed as a means of producing
better quality thin silicon layers for silicon-on-insulator related applications
18-20
. Layer quality
is improved because the use of buried interfaces to control detachment allows for significant
reduction, or even elimination, of the energetic ion fluence required to induce delamination.
In this work we report on the influence of sample temperature on the development
of blisters in Mo/Si ML samples. These samples are composed of alternating layers of
nanometer thick amorphous Si (a-Si) and polycrystalline Mo with mixed Mo-Si interfacial
regions
22
. They are susceptible to two distinct hydrogen-induced blistering processes
23-26
,
which are attributed to the formation of H
2
-filled blisters and to the formation and clustering
2

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
of hydrogen-vacancy complexes producing void blister
24
. The former occurs under the
influence of thermal H-atom irradiation; the latter process is observed when energetic (100’s
of eV) ions are present in the irradiating flux. These processes are not entirely independent.
In particular, the inclusion of energetic ions in the irradiating flux modifies the development
and growth of H
2
-filled blisters
24
.
Hydrogen-induced blistering in such MLs is observed to be localized near the Mo-
on-Si interfaces
23
. This is attributed the strained states and defects that are introduced near
these interfaces by the transition between materials and the local atomic structures
24
. In the
case of exposure to a neutral-only hydrogen flux, blistering was the result of layer
delamination occurring exclusively at the outermost Mo-on-Si interface. When ions were
also present in the irradiating flux delamination was observed at the outermost two Mo-on-
Si interfaces. Apart from direct penetration to the second and deeper bilayers, energetic ions
were proposed to induce transport of hydrogen from gas-filled bubbles near the outermost
Mo-on-Si interface across the mixed-phase interfacial region into the underlying Si layer.
A preliminary study on the temperature dependence of blister formation in Mo/Si
MLs illustrated that the substrate temperature had a significant impact on the formation of
blisters
26
. However, the complexity of the system left many open questions since the MLs
themselves are subject to structural deterioration as a result of annealing
27-29
. This is primarily
the result of increased intermixing at the interfaces resulting in the growth of molybdenum
silicide layers at the expense of the individual Mo and Si layers. This induces an overall
compaction of the ML structure as the silicide layers produced are more dense than those of
their original elemental constituents.
This manuscript aims to provide a detailed evaluation of the influence of sample
temperature on the formation of blisters in these structures. Atomic force microscopy
3

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
(AFM) measurements are used as the basis for evaluating the extent and type of blistering.
Comparison is made between the temperature dependencies in the case of exposure to an
exclusively neutral flux and to a flux containing energetic ions. In addition, the relative
importance of the sample temperature during hydrogen irradiation as compared with either
pre- or post-annealing of the sample at higher temperatures than during the actual exposure
is studied. Substrate temperature during the exposure is demonstrated to have the biggest
impact on the outcome.
2. Experimental.
The Mo/Si ML samples investigated in this work are similar to those studied
previously
23-26
. They were deposited on a super-polished Si wafer by magnetron sputtering
with additional ion polishing of the deposited Si layers. The thicknesses of individual layers
in the samples were ~3 nm for Mo and ~4 nm for Si. The samples were transferred through
air both prior to and post hydrogen exposure and no pre-treatment step was applied before
either exposure or analysis.
The hydrogen source was a capillary-type thermal cracker (Oxford Applied Research
TC50). It was operated at a power of 55 W with a 1 SCCM H
2
flow. In addition to the
neutral atomic and molecular hydrogen flux, the source also produced an ion current on the
order of ~75 nA. The majority of ions produced have energies >800 eV, due to the positive
bias (+1000 V) used to e-beam heat the capillary
24
.
Samples were exposed for 5 hours to the unmodified hydrogen flux from the
thermal capillary cracker at various set-point temperatures ranging from 50 °C to 275 °C,
under otherwise identical conditions. They were stabilized at the desired target temperature
prior to commencing exposure. Heating was radiative, via a back-mounted filament and the
4

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
temperature was monitored and controlled on the basis of a K-type thermocouple that was
spot-welded to one of the sample mounting clamps. Similar exposures were performed with
the addition of a biased deflector plate near to the sample and parallel to the incident particle
direction. A bias of -1100 V was used to deflect charged species from the flux prior to
interaction with the surface. When the bias was applied the drain current measured on the
sample during exposure dropped to <1 nA. Exposures are characterized as “neutral-only” or
“ion+neutral” depending on whether the biased plate was present or absent, respectively.
Damage to exposed samples was typically evident to the naked eye as a
discoloration/dulling of the original mirror-like surface. Regions covered by clamps during
the exposure always appeared undamaged. Exposed samples were characterized by optical
microscopy and atomic force microscopy (AFM). For samples exhibiting non-uniform
damage, the analysis was performed in the region exhibiting the most damaged. In the
current work this corresponds to the on-axis position of the cracker capillary. In cases where
no damage was visible or where the damage appeared uniform, the analysis position was
selected with reference to the non-uniform samples.
3. Results.
3.1. Temperature dependencies of “ion+neutral” and “neutral-only” exposures.
As reported in
24
, samples exposed to the ion+neutral flux at temperatures (T
exposure
)
of 85-100 °C typically exhibited a distinctive concentric damage pattern on the surface. This
is primarily due to the presence of ions; when a neutral-only flux is used the damage across
the exposed surface appears uniform. After the current ion+neutral exposures, the samples
exposed at temperatures between 50 °C and 100 °C again exhibited the well-defined central
spot surrounded by regions of more diffuse discoloration. Those exposed at 150 °C and 200
5

Citations
More filters
Journal ArticleDOI
TL;DR: In this paper , the morphological evolution of tin (Sn), lead (Pb), and lead (II) oxide(PbO) micrometer sized particles on a surface that is exposed to a low pressure hydrogen plasma was investigated.
Abstract: The stability of micrometer sized particles in hydrogen plasma is essential for extreme ultraviolet lithography, the ITER fusion program and the application of hydrogen plasma etching. We experimentally investigated the morphological evolution of tin (Sn), lead (Pb), and lead (II) oxide (PbO) micrometer sized particles on a surface that is exposed to a low pressure hydrogen plasma. Post exposure particle cross sections obtained by a scanning electron microscope accompanied by a focused ion beam demonstrated a significant influence of hydrogen plasma exposure on both the surface and the bulk material of the particles. Chemical sputtering at the surface and accumulation of pressurized hydrogen bubbles in cavities in the bulk material are the main drivers of the morphological changes. These mechanisms may influence the adhesion of particles to the surface through the introduction of asperities, increase of contact spot area, or fragmentation after the accumulation of mechanical stress.

1 citations

Journal ArticleDOI
TL;DR: In this article , the influence of imperfections in a ruthenium thin film on the behavior of H is investigated. But the authors focus on the effect of tilt and twist grain boundaries on the overall diffusion behavior of Ru.
Abstract: Ruthenium (Ru) thin films are used as protective caps for the multilayer mirrors in extreme ultraviolet lithography machines. When these mirrors are exposed to atomic hydrogen (H), it can permeate through Ru, leading to the formation of hydrogen-filled blisters on the mirrors. H has been shown to exhibit low solubility in bulk Ru, but the nature of H diffusion through Ru and its contribution to the mechanisms of blistering remain unknown. This work makes use of reactive molecular dynamics simulations to study the influence of imperfections in a Ru film on the behavior of H. For the Ru/H system, a ReaxFF force field which reproduces structures and energies obtained from quantum-mechanical calculations was parametrized. Molecular dynamics simulations have been performed with the newly developed force field to study the effect of tilt and twist grain boundaries on the overall diffusion behavior of H in Ru. Our simulations show that the tilt and twist grain boundaries provide energetically favorable sites for hydrogen atoms and act as sinks and highways for H. They therefore block H transport across their planes and favor diffusion along their planes. This results in the accumulation of hydrogen at the grain boundaries. The strong effect of the grain boundaries on hydrogen diffusion suggests tailoring the morphology of ruthenium thin films as a means to curb the rate of hydrogen permeation.

1 citations

Proceedings ArticleDOI
05 Apr 2021
TL;DR: In this article, the surface treatment using atomic hydrogen generated by a heated tungsten mesh, called as atomic hydrogen annealing (AHA), has been investigated for cleaning of the optical elements used in the synchrotron facility.
Abstract: An extreme ultraviolet (EUV) light with a wavelength of 13.5 nm has been introduced to 7 nm FinFET technology. Optical elements such as Mo/Si multilayer mirror in lithography equipment are contaminated with hydrocarbon during the EUV light irradiation. The reflectance of the mirrors is decreased by carbon contamination. Therefore, the removal method of the carbon contamination is required for reduction of maintenance cost. The surface treatment using atomic hydrogen generated by a heated tungsten mesh, called as atomic hydrogen annealing (AHA), have been investigated for cleaning of the optical elements used in the synchrotron facility. The Au/Cr/Si substrate, Ni mirror and Ni diffraction grating with carbon contamination were cleaned and the reflectance of the mirrors was recovered by AHA. In addition, the AHA conditions could be optimized for cleaning of Mo/Si multilayer mirrors from the relationship between the treatment conditions and degradation. Furthermore, to clarify the reaction of atomic hydrogen with not only C-C bond but also C-O bond, the graphene oxide (GO) film was also treated by AHA. The C-O-C bonds in the GO films were preferentially reduced by AHA. It is found that the surface contamination consisting of hydrocarbon and/or C-O bond on the optical elements is removed without damage. The ability of atomic hydrogen to clean the optical elements had been confirmed. The findings are useful for the advanced lithography technology using EUV light.

Additional excerpts

  • ...hydrogen radicals, ions, or thermal damage [11, 12]....

    [...]

Journal ArticleDOI
TL;DR: In this paper, a reactive sputtering technique utilizing a secondary plasma to crack hydrogen gas during physical vapor deposition of silicon layers was demonstrated, resulting in amorphous silicon films with densities as low as 1.73 and 2.2, respectively.
Abstract: Following the need to improve packaging and contact layers for photovoltaics and other optoelectronic applications, a renewed interest in the fabrication of thin, low-density silicon films has arisen. We demonstrate a reactive sputtering technique utilizing a secondary plasma to crack hydrogen gas during physical vapor deposition of silicon layers. Cracking efficiency of the gas varies heavily with pressure and power from under 10% to nearly 100% conversion to hydrogen radicals. Radicals incorporated into the film produce amorphous silicon films with densities as low as 1.73 g / cm3, compared to 2.2 g / cm3 in their nonhydrogenated counterparts. Reduced density films likewise have a reduction in index of refraction comparable to other hydrogenated amorphous silicon produced by other techniques with indices close to 2 across the visible portion of the spectrum. Our work represents a useful, scalable advance in the production of amorphous hydrogenated silicon for a variety of applications requiring large areas.
References
More filters
Journal ArticleDOI
04 Jul 2002-Nature
TL;DR: The mechanism of hydrogen-induced crystallization of hydrogenated amorphous silicon films during post-deposition treatment with an H2 (or D2) plasma is reported, which is mediated by the insertion of H atoms into strained Si–Si bonds as the atoms diffuse through the film.
Abstract: Hydrogenated amorphous and nanocrystalline silicon films manufactured by plasma deposition techniques are used widely in electronic and optoelectronic devices1,2. The crystalline fraction and grain size of these films determines electronic and optical properties; the nanocrystal nucleation mechanism, which dictates the final film structure, is governed by the interactions between the hydrogen atoms of the plasma and the solid silicon matrix. Fundamental understanding of these interactions is important for optimizing the film structure and properties. Here we report the mechanism of hydrogen-induced crystallization of hydrogenated amorphous silicon films during post-deposition treatment with an H2 (or D2) plasma. Using molecular-dynamics simulations3,4 and infrared spectroscopy5, we show that crystallization is mediated by the insertion of H atoms into strained Si–Si bonds as the atoms diffuse through the film. This chemically driven mechanism may be operative in other covalently bonded materials, where the presence of hydrogen leads to disorder-to-order transitions.

374 citations

Journal ArticleDOI
TL;DR: In this paper, the fundamental mechanism underlying hydrogen-induced exfoliation of silicon, using a combination of spectroscopic and microscopic techniques, was investigated, and the evolution of the internal defect structure as a function of implanted hydrogen concentration and annealing temperature was studied.
Abstract: We have investigated the fundamental mechanism underlying the hydrogen-induced exfoliation of silicon, using a combination of spectroscopic and microscopic techniques. We have studied the evolution of the internal defect structure as a function of implanted hydrogen concentration and annealing temperature and found that the mechanism consists of a number of essential components in which hydrogen plays a key role. Specifically, we show that the chemical action of hydrogen leads to the formation of (100) and (111) internal surfaces above 400 °C via agglomeration of the initial defect structure. In addition, molecular hydrogen is evolved between 200 and 400 °C and subsequently traps in the microvoids bounded by the internal surfaces, resulting in the build-up of internal pressure. This, in turn, leads to the observed “blistering” of unconstrained silicon samples, or complete layer transfer for silicon wafers joined to a supporting (handle) wafer which acts as a mechanical “stiffener.”

319 citations

Journal ArticleDOI
TL;DR: In this paper, a review of hydrogen bubble formation in metals is presented, focusing on those areas of bubble formation where the distinct chemical character of hydrogen is important, and a distinction is made between nucleation and subsequent cavity growth mechanisms.

268 citations

Journal ArticleDOI
R. A. Street1, K. Winer1
TL;DR: Experimental and theoretical studies of the thermal equilibrium defect density in undoped a-Si:H confirm that defect equilibration occurs over a range of temperatures and sample deposition conditions and propose that the time dependence of the relaxation is related to the shape of the valence-band-tail distribution.
Abstract: Experimental and theoretical studies of the thermal equilibrium defect density in undoped a-Si:H are reported. The defect density measured by electron-spin resonance increases with temperature with an activation energy of 0.15\char21{}0.2 eV. The equilibration time is activated with an energy of about 1.5 eV, and the shape of the decay follows a stretched exponential, as in doped a-Si:H. The experiments confirm that defect equilibration occurs over a range of temperatures and sample deposition conditions. The relaxation time depends on the growth conditions, and the thermal defects are shown to anneal more slowly than optically induced defects. The temperature dependence of the thermodynamic equilibrium defect density is calculated, based on the weak-bond\char21{}dangling-bond conversion model. Four specific defect reactions are analyzed, two of which involve the motion of bonded hydrogen. The defect density is sensitive to the details of the model because of entropy effects. The experimental data agree well with the analysis, but do not conclusively distinguish between the different possible defect reactions because of uncertainties in the parameters of the model. The different annealing rates of thermal and optical defects are accounted for by relating the distributions of hydrogen-bonding energies, the defect-formation energies, and the valence-band-tail states. It is proposed that the time dependence of the relaxation is related to the shape of the valence-band-tail distribution.

224 citations

Journal ArticleDOI
TL;DR: In this paper, the authors used transmission electron microscopy (TEM) to quantitatively study the thermal behavior of cavities and found that the cavities grow in size, reduce their density, while the overall volume they occupy remains constant.
Abstract: Proton implantation and thermal annealing of silicon result in the formation of a specific type of extended defects involving hydrogen, named “platelets” or “cavities.” These defects have been related to the exfoliation mechanism on which a newly developed process to transfer thin films of silicon onto various substrates is based. The density and the size of these platelets depend on the implantation and annealing conditions. In this letter, rigorous statistical methods based on transmission electron microscopy have been used to quantitatively study the thermal behavior of these defects. Upon annealing, it is shown that the cavities grow in size, reduce their density, while the overall volume they occupy remains constant. This phenomenon is due to a conservative ripening of the cavities. The transfer of hydrogen atoms from small to large cavities leads to a decrease of the elastic energy within the implanted layer while the strain locally increases around the projected range of the protons.

121 citations

Frequently Asked Questions (1)
Q1. What contributions have the authors mentioned in the paper "Temperature dependencies of hydrogen-induced blistering of thin film multilayers" ?

The authors report on the influence of sample temperature on the development of hydrogen-induced blisters in Mo/Si thin-film multilayers. Energetic ions modify the blistering via ( temperature dependent ) enhancement of H-mobility and re-crystallization of amorphous Si. 1 Corresponding author: M. A. Gleeson @ differ.