scispace - formally typeset
Search or ask a question
Journal ArticleDOI

Temperature dependencies of hydrogen-induced blistering of thin film multilayers

07 May 2014-Journal of Applied Physics (American Institute of Physics)-Vol. 115, Iss: 17, pp 173510
TL;DR: In this article, the influence of sample temperature on the development of hydrogen-induced blisters in Mo/Si thin-film multilayers was investigated and it was shown that the areal number density of blisters decreases with increasing exposure temperature, whereas individual blister size increases with exposure temperatures up to ∼200°C but decreases thereafter.
Abstract: We report on the influence of sample temperature on the development of hydrogen-induced blisters in Mo/Si thin-film multilayers. In general, the areal number density of blisters decreases with increasing exposure temperature, whereas individual blister size increases with exposure temperatures up to ∼200 °C but decreases thereafter. Comparison as a function of sample temperature is made between exposures to a flux containing both hydrogen ions and neutrals and one containing only neutrals. In the case of the neutral-only flux, blistering is observed for exposure temperatures ≥90 °C. The inclusion of ions promotes blister formation at <90 °C, while retarding their growth at higher temperatures. In general, ion-induced effects become less evident with increasing exposure temperature. At 200 °C, the main effect discernable is reduced blister size as compared with the equivalent neutral-only exposure. The temperature during exposure is a much stronger determinant of the blistering outcome than either pre- or post-annealing of the sample. The trends observed for neutral-only exposures are attributed to competing effects of defect density thermal equilibration and H-atom induced modification of the Si layers. Energetic ions modify the blistering via (temperature dependent) enhancement of H-mobility and re-crystallization of amorphous Si.

Summary (2 min read)

1. Introduction

  • Hydrogen-induced blistering of materials is a complex phenomenon1-3 that can have a strong dependence on the exposure conditions, with sample temperature being one of the critical parameters.
  • In the case of exposure to a neutral-only hydrogen flux, blistering was the result of layer delamination occurring exclusively at the outermost Mo-on-Si interface.
  • This induces an overall compaction of the ML structure as the silicide layers produced are more dense than those of their original elemental constituents.
  • In addition, the relative importance of the sample temperature during hydrogen irradiation as compared with either pre- or post-annealing of the sample at higher temperatures than during the actual exposure is studied.

2. Experimental.

  • The Mo/Si ML samples investigated in this work are similar to those studied previously23-26.
  • The hydrogen source was a capillary-type thermal cracker (Oxford Applied Research TC50).
  • They were stabilized at the desired target temperature prior to commencing exposure.
  • Similar exposures were performed with the addition of a biased deflector plate near to the sample and parallel to the incident particle direction.
  • For samples exhibiting non-uniform damage, the analysis was performed in the region exhibiting the most damaged.

3.2. Effects of pre- and post-exposure annealing

  • The effects on blistering of pre- and post-annealing of samples to elevated temperature was investigated.
  • Figure 6 shows the comparison of individual blister volume versus area derived from these samples.
  • In the case of post-annealing, the change is the result of preferential growth of the larger component (compare Fig. 5 (a)&(d)).
  • The effect is most pronounced for the “thermal neutral” blisters; the number of “ion-induced” blisters formed is relatively unaffected by pre-annealing.

4. Discussion

  • The authors begin by giving a synopsis of their previous observations and interpretations of blister formation in Mo/Si MLs, before addressing how those processes are influenced by temperature-dependent effects.
  • The temperature dependencies the authors report can be accounted for on the basis of defect densities established as a function of Texposure and/or Tanneal and exposure-induced modifications to the layer structure.
  • As noted above, defects introduced by energetic ions modify the uptake and mobility of hydrogen by the ML.
  • While blisters clearly identifiable as “ion-induced” are not evident at Texposure>150 °C ion-induced pre-blister voids may still act to sequester hydrogen, thereby retarding H2-filled blister growth.
  • Thus, defect equilibration contributes to enhanced hydrogen mobility in a-Si but the effect is counteracted with increasing Tanneal by interfacial layer growth and densification.

5. Conclusion.

  • Evaluation of the effects of sample temperature on hydrogen-induced blister formation in Mo/Si multilayer (ML) samples illustrates that the temperature during exposure DOI: 10.1063/1.4875484 is the dominating factor in the development of blisters.
  • Effects related to thermally-induced changes to the ML structure and post-exposure redistribution of incorporated hydrogen are of lesser importance.
  • The general trends observed for increasing exposure temperature were of an increase in blister size and a decrease in areal number density up to 200 °C, followed by a decrease in blister size there-after.
  • In the case of the neutral-only exposures, these trends are attributed to the competing effects on a-Si layers of defect density thermal equilibration (enhancing Huptake and mobility) and H-atom induced re-crystallization (reducing H diffusion and enhancing H2 out-diffusion).
  • The inclusion of ions in the irradiating flux enhances blister formation at lower temperatures by introducing defects and strained bond that promote Huptake and diffusion.

Did you find this useful? Give us your feedback

Figures (8)

Content maybe subject to copyright    Report

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
Temperature dependencies of hydrogen-induced blistering of thin film multilayers
A.S. Kuznetsov
1
, M.A. Gleeson
1,1
and F.Bijkerk
1,2
1
DIFFER Dutch Institute for Fundamental Energy Research, Postbus 1207, 3430 BE Nieuwegein, The
Netherlands.
2
MESA
+
Institute for Nanotechnology, University of Twente, Postbus 217, 7500 AE Enschede, The
Netherlands.
Abstract
We report on the influence of sample temperature on the development of hydrogen-induced
blisters in Mo/Si thin-film multilayers. In general, the areal number density of blisters
decreases with increasing exposure temperature, whereas individual blister size increases with
exposure temperatures up to ~200 °C but decreases thereafter. Comparison as a function of
sample temperature is made between exposures to a flux containing both hydrogen ions and
neutrals and one containing only neutrals. In the case of the neutral-only flux, blistering is
observed for exposure temperatures 90 °C. The inclusion of ions promotes blister
formation at <90 °C, while retarding their growth at higher temperatures. In general, ion-
induced effects become less evident with increasing exposure temperature. At 200 °C the
main effect discernable is reduced blister size as compared with the equivalent neutral-only
exposure. The temperature during exposure is a much stronger determinant of the blistering
outcome than either pre- or post-annealing of the sample. The trends observed for neutral-
only exposures are attributed to competing effects of defect density thermal equilibration
and H-atom induced modification of the Si layers. Energetic ions modify the blistering via
(temperature dependent) enhancement of H-mobility and re-crystallization of amorphous Si.
1
Corresponding author: M.A.Gleeson@differ.nl
1

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
1. Introduction
Hydrogen-induced blistering of materials is a complex phenomenon
1-3
that can have
a strong dependence on the exposure conditions, with sample temperature being one of the
critical parameters. The temperature dependence of blister formation is an open issue in
fusion research where hydrogen retention
4-6
and hydrogen-induced damage to plasma-facing
materials
7-9
are being investigated. In relation to thin films, blister and crater formation
occurs during annealing of hydrogenated Si-Ge multilayer (ML) structures
10
. Heating can
induce structural modifications such as relaxation and crystalline phase transformation,
promote diffusion and nucleation, and in the case of mixed-material systems induce
intermixing and compound formation. All such changes influence the behaviour of
hydrogen within the system.
The selection of appropriate annealing/exposure temperature is important for the
process optimization in thin layer transfer techniques both for standard ion implantation and
strained-layer driven layer detachment
11-21
. Localization of either hydrogen or stabilized
vacancy complexes (depending on the specifics of the strain states) at interfaces in layered
materials is a commonly observed phenomenon. It is proposed as a means of producing
better quality thin silicon layers for silicon-on-insulator related applications
18-20
. Layer quality
is improved because the use of buried interfaces to control detachment allows for significant
reduction, or even elimination, of the energetic ion fluence required to induce delamination.
In this work we report on the influence of sample temperature on the development
of blisters in Mo/Si ML samples. These samples are composed of alternating layers of
nanometer thick amorphous Si (a-Si) and polycrystalline Mo with mixed Mo-Si interfacial
regions
22
. They are susceptible to two distinct hydrogen-induced blistering processes
23-26
,
which are attributed to the formation of H
2
-filled blisters and to the formation and clustering
2

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
of hydrogen-vacancy complexes producing void blister
24
. The former occurs under the
influence of thermal H-atom irradiation; the latter process is observed when energetic (100’s
of eV) ions are present in the irradiating flux. These processes are not entirely independent.
In particular, the inclusion of energetic ions in the irradiating flux modifies the development
and growth of H
2
-filled blisters
24
.
Hydrogen-induced blistering in such MLs is observed to be localized near the Mo-
on-Si interfaces
23
. This is attributed the strained states and defects that are introduced near
these interfaces by the transition between materials and the local atomic structures
24
. In the
case of exposure to a neutral-only hydrogen flux, blistering was the result of layer
delamination occurring exclusively at the outermost Mo-on-Si interface. When ions were
also present in the irradiating flux delamination was observed at the outermost two Mo-on-
Si interfaces. Apart from direct penetration to the second and deeper bilayers, energetic ions
were proposed to induce transport of hydrogen from gas-filled bubbles near the outermost
Mo-on-Si interface across the mixed-phase interfacial region into the underlying Si layer.
A preliminary study on the temperature dependence of blister formation in Mo/Si
MLs illustrated that the substrate temperature had a significant impact on the formation of
blisters
26
. However, the complexity of the system left many open questions since the MLs
themselves are subject to structural deterioration as a result of annealing
27-29
. This is primarily
the result of increased intermixing at the interfaces resulting in the growth of molybdenum
silicide layers at the expense of the individual Mo and Si layers. This induces an overall
compaction of the ML structure as the silicide layers produced are more dense than those of
their original elemental constituents.
This manuscript aims to provide a detailed evaluation of the influence of sample
temperature on the formation of blisters in these structures. Atomic force microscopy
3

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
(AFM) measurements are used as the basis for evaluating the extent and type of blistering.
Comparison is made between the temperature dependencies in the case of exposure to an
exclusively neutral flux and to a flux containing energetic ions. In addition, the relative
importance of the sample temperature during hydrogen irradiation as compared with either
pre- or post-annealing of the sample at higher temperatures than during the actual exposure
is studied. Substrate temperature during the exposure is demonstrated to have the biggest
impact on the outcome.
2. Experimental.
The Mo/Si ML samples investigated in this work are similar to those studied
previously
23-26
. They were deposited on a super-polished Si wafer by magnetron sputtering
with additional ion polishing of the deposited Si layers. The thicknesses of individual layers
in the samples were ~3 nm for Mo and ~4 nm for Si. The samples were transferred through
air both prior to and post hydrogen exposure and no pre-treatment step was applied before
either exposure or analysis.
The hydrogen source was a capillary-type thermal cracker (Oxford Applied Research
TC50). It was operated at a power of 55 W with a 1 SCCM H
2
flow. In addition to the
neutral atomic and molecular hydrogen flux, the source also produced an ion current on the
order of ~75 nA. The majority of ions produced have energies >800 eV, due to the positive
bias (+1000 V) used to e-beam heat the capillary
24
.
Samples were exposed for 5 hours to the unmodified hydrogen flux from the
thermal capillary cracker at various set-point temperatures ranging from 50 °C to 275 °C,
under otherwise identical conditions. They were stabilized at the desired target temperature
prior to commencing exposure. Heating was radiative, via a back-mounted filament and the
4

A.S. Kuznetsov, M. A. Gleeson and F. Bijkerk (2014). "Temperature dependencies of hydrogen-induced blistering of thin
film multilayers." Journal of Applied Physics 115(17): 173510.
DOI: 10.1063/1.4875484
temperature was monitored and controlled on the basis of a K-type thermocouple that was
spot-welded to one of the sample mounting clamps. Similar exposures were performed with
the addition of a biased deflector plate near to the sample and parallel to the incident particle
direction. A bias of -1100 V was used to deflect charged species from the flux prior to
interaction with the surface. When the bias was applied the drain current measured on the
sample during exposure dropped to <1 nA. Exposures are characterized as “neutral-only” or
“ion+neutral” depending on whether the biased plate was present or absent, respectively.
Damage to exposed samples was typically evident to the naked eye as a
discoloration/dulling of the original mirror-like surface. Regions covered by clamps during
the exposure always appeared undamaged. Exposed samples were characterized by optical
microscopy and atomic force microscopy (AFM). For samples exhibiting non-uniform
damage, the analysis was performed in the region exhibiting the most damaged. In the
current work this corresponds to the on-axis position of the cracker capillary. In cases where
no damage was visible or where the damage appeared uniform, the analysis position was
selected with reference to the non-uniform samples.
3. Results.
3.1. Temperature dependencies of “ion+neutral” and “neutral-only” exposures.
As reported in
24
, samples exposed to the ion+neutral flux at temperatures (T
exposure
)
of 85-100 °C typically exhibited a distinctive concentric damage pattern on the surface. This
is primarily due to the presence of ions; when a neutral-only flux is used the damage across
the exposed surface appears uniform. After the current ion+neutral exposures, the samples
exposed at temperatures between 50 °C and 100 °C again exhibited the well-defined central
spot surrounded by regions of more diffuse discoloration. Those exposed at 150 °C and 200
5

Citations
More filters
Journal ArticleDOI
TL;DR: In this article, the fraction of the implanted fluence used to pressurize blister cavities was deduced by combining experimental results with Finite Element Method (FEM) modeling.

34 citations

Journal ArticleDOI
TL;DR: In this paper, the influence of hydrogen flux and ion energy for blister formation in nanometer thick Mo/Si multilayer structures due to exposure to hydrogen ion fluxes was measured and compared to a blister model.
Abstract: We report on blister formation in nanometer thick Mo/Si multilayer structures due to exposure to hydrogen ion fluxes The influence of hydrogen flux and ion energy for blister formation have been measured and compared to a blister model The blister number density increases significantly around 100 eV when increasing the ion energy from 50 to 200 eV This stepwise behavior could be explained by the fact that for energies >100 eV hydrogen ions could directly penetrate to the depth where delamination takes place From the blister model also the blisters internal pressure and surface energy was calculated to be around 100–800 MPa and respectively

23 citations

Journal ArticleDOI
23 Jan 2018
TL;DR: In this article, the effect of the proton flux magnitude on the degradation of native metal oxide layers and its consequences for hydrogen blisters was examined, and it was found that intense proton irradiation increases the permeability of aluminium oxide layers for hydrogen atoms, thereby counteracting hydrogen blister formation.
Abstract: Metallic surfaces, exposed to a proton flux, start to degradate by molecular hydrogen blisters. These are created by recombination of protons with metal electrons. Continued irradiation progresses blistering, which is undesired for many technical applications. In this work, the effect of the proton flux magnitude onto the degradation of native metal oxide layers and its consequences for blister formation has been examined. To study this phenomenon, we performed proton irradiation experiments of aluminium surfaces. The proton kinetic energy was chosen so that all recombined hydrogen is trapped within the metal structure. As a result, we discovered that intense proton irradiation increases the permeability of aluminium oxide layers for hydrogen atoms, thereby counteracting blister formation. These findings may improve the understanding of the hydrogen blistering process, are valid for all metals kept under terrestrial ambient conditions, and important for the design of proton irradiation tests.

21 citations


Cites methods from "Temperature dependencies of hydroge..."

  • ...Then, during the annealing procedure, the hydrogen is released from the lattice sites and may form a high surface density of blisters.(13,14,16,17) Exposing metals to the flux of protons at elevated temperatures leads to a continuous loss of hydrogen due to diffusion processes....

    [...]

Journal ArticleDOI
TL;DR: Calculations show a significant drop in the energy barrier to hydrogen penetration when a tin atom or a tin hydride molecule is adsorbed on the ruthenium surface; the barrier has been found to drop in all tested cases with tin.
Abstract: Hydrogen interaction with ruthenium is of particular importance for the ruthenium-capped multilayer reflectors used in extreme ultraviolet (EUV) lithography. Hydrogen causes blistering, which leads to a loss of reflectivity. This problem is aggravated by tin. This study aims to uncover the mechanism via which tin affects the hydrogen uptake, with a view to mitigation. We report here the results of a study of hydrogen interaction with the ruthenium surface in the presence of tin using Density Functional Theory and charge density analyses. Our calculations show a significant drop in the energy barrier to hydrogen penetration when a tin atom or a tin hydride molecule (SnHx) is adsorbed on the ruthenium surface; the barrier has been found to drop in all tested cases with tin, from 1.06 eV to as low as 0.28 eV in the case of stannane (SnH4). Analyses show that, due to charge transfer from the less electronegative tin to hydrogen and ruthenium, charge accumulates around the diffusing hydrogen atom and near the ruthenium surface atoms. The reduced atomic volume of hydrogen, together with the effect of electron–electron repulsion from the ruthenium surface charge, facilitates subsurface penetration. Understanding the nature of tin’s influence on hydrogen penetration will guide efforts to mitigate blistering damage of EUV optics. It also holds great interest for applications where hydrogen penetration is desirable, such as hydrogen storage.

15 citations


Cites background from "Temperature dependencies of hydroge..."

  • ...When these pockets reach a critical pressure, the layers separate, which results in blistering of the mirror and loss of reflectivity [20,21]....

    [...]

Journal ArticleDOI
TL;DR: The elastic blistering and the recoverable swelling of the nanometer-thick films represent a miniaturized event-driven mechanical system for potential functioning applications.
Abstract: Giant circular blisters of up to 300 μm diameter and 10 μm deflection have been produced on nanometer-thick Al2O3-on-ZnO stacks grown by atomic layer deposition at 150 °C followed by annealing at elevated temperatures. Their shape changes upon varied ambient pressures provide evidence that their formation is related to an anneal-induced outgassing combined with their impermeability. The former mainly occurs in the bottom ZnO layer that recrystallizes and releases residual hydroxide ions at elevated temperatures while the latter is dominantly contributed by the pinhole-free Al2O3 layer on top. Vibrations at a resonant frequency of ∼740 kHz are mechanically actuated and optically probed from an individual blister. By modulating the thickness and stacking sequence of Al2O3 and ZnO, we further demonstrate a localized circular film swelling upon electron-beam irradiation and its recovery after reducing the irradiation flux. The elastic blistering and the recoverable swelling of the nanometer-thick films repres...

11 citations

References
More filters
Journal ArticleDOI
TL;DR: In this article, a potential temperature window for plasma hydrogenation induced layer separation is identified based on the combined considerations of trap-limited diffusion at low temperature and outdiffusion of H{sub 2} molecule together with the dissociation of Si-H bonds inside of H platelet at high temperature.
Abstract: We have studied hydrogen diffusion in plasma hydrogenated Si/SiGe/Si heterostructure at different temperatures. At low temperature, intrinsic point defects in the molecular beam epitaxy grown Si capping layer are found to compete with the buried strain SiGe layer for hydrogen trapping. The interaction of hydrogen with point defects affects the hydrogen long-range diffusion, and restricts the amount of hydrogen available for trapping by the SiGe layer. However, hydrogen trapping by the capping layer is attenuated with increasing hydrogenation temperature allowing more hydrogen to be trapped in the strain SiGe layer with subsequent surface blister formation. A potential temperature window for plasma hydrogenation induced layer separation is identified based on the combined considerations of trap-limited diffusion at low temperature and outdiffusion of H{sub 2} molecule together with the dissociation of Si-H bonds inside of H platelet at high temperature.

8 citations

Journal ArticleDOI
TL;DR: In this paper, the effect of temperature on the blistering of (001) Cz-Si crystals was studied as a function of the sample temperature during implantation in the range of 150-450K.
Abstract: Blistering of (001) Cz–Si crystals (>1Ωcm), by either protium (H) or deuterium (D) ions of 5keV energy, was studied as a function of the sample temperature during implantation in the range of 150–450K. It was found that the blistering dose thresholds decreased with temperature, and very dramatically so in the case of D ions, revealing new aspects of the giant isotope effect. An optimal implantation temperature for ion cutting was found around 100°C, where the required dose is reduced and the isotope effect is minimized. The results are interpreted in terms of models of the interaction of implanted hydrogen with radiation defects, and they show that dynamic annealing is incomparably more efficient in promoting blistering than purely thermal annealing.

7 citations

Journal ArticleDOI
TL;DR: In this paper, the authors performed H2 plasma treatment of hydrogenated amorphous silicon (a-Si:H) thin films and followed by in situ spectroscopic ellipsometry measurements the kinetics of hydrogen-induced film modifications at temperatures varying from 100 to 250
Abstract: We performed H2 plasma treatment of hydrogenated amorphous silicon (a-Si:H) thin films and followed by in situ spectroscopic ellipsometry measurements the kinetics of hydrogen-induced film modifications at temperatures varying from 100 to 250 °C. The time-dependence of the H-modified layer thickness dH(t) follows an exponential relation of the form dH0[1−exp(−t/τ)]. The temperature-dependence of dH0 and τ shows a discontinuity at T=200 °C. While the activation energy of dH0 changes from 0.19 eV at T<200 °C to 0.05 eV at higher temperatures, the activation energy of τ suddenly changes from 0.15 eV to a negative value (−0.26 eV). Such a discontinuity should be linked to the thermal equilibrium temperature of undoped a-Si:H. Moreover, we found that the rate of formation of the H-modified layer rH=dH0/τ varies in inverse proportion to the etching rate, indicating a balance between hydrogen insertion and film etching at the steady state.

6 citations

Journal ArticleDOI
TL;DR: Hydrogenated amorphous silicon prepared by evaporation onto low-temperature substrates is characterized by a low-density network and by the presence of (${\mathrm{SiH}}_{2}$${)}_{\mathit{n}}$ bonds.
Abstract: Hydrogenated amorphous silicon prepared by evaporation onto low-temperature substrates is characterized by a low-density network and by the presence of (${\mathrm{SiH}}_{2}$${)}_{\mathit{n}}$ bonds By monitoring the decay of the small-angle neutron-scattering intensity during annealing of Si/Si:H/Si/Si:M/ (M=${\mathrm{H}}_{28}$${\mathrm{D}}_{72}$) multilayers, it is possible to follow simultaneously the densification of the silicon network and the diffusion of the hydrogen atoms

6 citations

Journal ArticleDOI
TL;DR: In this paper, the influence of implantation conditions (dose, energy, and target temperature) of He+ ions on the damage structure of GaAs (100) substrates was performed by HRXRD, scanning electron microscopy, and Nomarski microscopy.
Abstract: An investigation into the influence of implantation conditions (dose, energy, and target temperature) of He+ ions on the damage structure of GaAs (100) substrates was performed by HRXRD, scanning electron microscopy, and Nomarski microscopy. Blistering is shown to become apparent as characteristic features of isolines in RSMs. We propose that the formation of the defects yielding a characteristic XRDS is defined by the behavior of implanted atoms in the GaAs matrix, depending on two competing processes: (1) formation of the gas-filled bubbles; (2) diffusion of the He atoms from the bubbles toward the surface and deep into the GaAs substrate. We conclude that the gas-filled bubbles change the structure of the irradiated layer, resulting in the formation of strained crystalline areas of the GaAs matrix.

5 citations

Frequently Asked Questions (1)
Q1. What contributions have the authors mentioned in the paper "Temperature dependencies of hydrogen-induced blistering of thin film multilayers" ?

The authors report on the influence of sample temperature on the development of hydrogen-induced blisters in Mo/Si thin-film multilayers. Energetic ions modify the blistering via ( temperature dependent ) enhancement of H-mobility and re-crystallization of amorphous Si. 1 Corresponding author: M. A. Gleeson @ differ.