scispace - formally typeset
Search or ask a question

Showing papers on "Aerial image published in 1991"


Patent
15 Oct 1991
TL;DR: In this paper, a measurement apparatus designed to be used in association with the housing supporting an indirect ophthalmoscopy optical system is shown, where a reticle is formed on a transparent disc of glass or other material, and is fitted into a supporting structure which is adapted to be selectively retained.
Abstract: A measurement apparatus designed to be used in association with the housing supporting an indirect ophthalmoscopy optical system is shown. The optical system may include one or more lens elements contributing to the formation of an aerial fundus image. Exact positioning of the lens elements as well as consistent lens housing dimensions insure accurate location of the aerial image relative to an examined eye. Such positioning may be accomplished by means of a contact lens of given thickness and power, in predetermined relationship with the image forming lens(es), or by means of an adapter positioned on the external eyelid of a patient, with the image forming lens(es) supported therein. A reticle is formed on a transparent disc of glass or other material, and is fitted into a supporting structure which is adapted to be selectively retained in association with the housing of the indirect ophthalmoscopy optical system. The reticle may be manually positioned at a location which coincides with the formed aerial image of the optical system, thus allowing quantitative measurement of interior portions of the eye as represented in the aerial image. The position of the supporting structure for the reticle is adjustable to accommodate for any shifting of the image plane created by specific refractive conditions of the examined eye.

38 citations


Proceedings ArticleDOI
01 Jul 1991
TL;DR: SAMPLE-3D as discussed by the authors is a 3D optical lithography simulator based on a new ray-string algorithm for dissolution etch-front advancement, which integrates a number of process simulators on a workstation.
Abstract: A 3-D optical lithography simulator has been developed based on a new ray-string algorithm for dissolution etch-front advancement. This simulator, SAMPLE-3D, integrates a number of process simulators on a workstation while also providing display and print capabilities. SAMPLE-3D has been used to look at 3D resist profiles from 2-dimensional mask patterns, including isolated contacts, isolated islands, and elbow patterns. Simulations have been performed on both positive and negative photoresists, and the effects of resist contrast and surface rate retardation were explored. The correlation between the 2D aerial image and the 3D developed resist profile has been investigated. This includes applications to the printability of defects where the nonvertical resist dissolution effects play a strong role.© (1991) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

20 citations


Journal ArticleDOI
TL;DR: In this paper, the imaging of aerial objects by one or two submerged eyes was studied and the aerial binocular image field was determined for pairs of submerged eyes in horizonal and vertical planes.

15 citations


Journal ArticleDOI
TL;DR: In this paper, the proximity printing process in photolithography can be simulated to compute the diffraction-induced variation in resist profile during exposure, which is characterized by its contrast curve to generate the 3-dimensional resist image.

6 citations


Journal ArticleDOI
TL;DR: In this paper, a complete numerical simulation package for submicron photolithography is described in depth, and four computational steps are analyzed: aerial image generation, exposure, postexposure bake, and dissolution.
Abstract: A complete numerical simulation package for submicron photolithography is described in depth. Four of the computational steps are analyzed: aerial image generation, exposure, postexposure bake, and dissolution. An application to bar printing over a MOSFET gate is described. In addition, the utility of phase-shift masks is described, and the effects of aberrations are explored.

5 citations


01 May 1991
TL;DR: This dissertation proposes a new approach for analyzing high resolution aerial photographs of urban areas and presents the results of analyzing a high resolution, multi-spectral, aerial image of an urban area, showing the validity of the new approach and the power and portability of the system.
Abstract: This dissertation proposes a new approach for analyzing high resolution aerial photographs of urban areas. Analyzing aerial photographs is the process of constructing an overall description of a scene. It involves knowledge of visual sensors, computing systems, artificial intelligence, software engineering, and perceptual psychology. Researchers have had only limited success in this area. This dissertation considers a high level analysis approach. Most aerial photograph interpretation systems concentrate on analyzing an airport, roadway, or urban scene. Those systems, however, do not explain how they know they were examining such a scene. This dissertation concentrates on how to reach that point. It begins with "this is an aerial photograph" and works its way down through a hierarchy of labels until it reaches the point of "this is an urban area--find and label the objects." The new analysis approach introduces a unique use of three basic ideas. These ideas are (1) the use of context, expectations, selective attention, and the perceptual cycle, (2) analyzing the image through a hierarchy of increasingly specific labels, and (3) the interplay between the segmentation and interpretation processes. These are developed in a computer vision system for analyzing aerial photographs. The system comprises (1) a control mechanism, (2) a knowledge base, (3) a belief maintenance system, and (4) an image processing interface. In general, the system uses the knowledge stored in frames to investigate areas in the image. The control mechanism calls low level routines in the image processing interface. They report the results back to the control mechanism which invokes the belief maintenance system. The belief maintenance system reports which frame is the most probable label for the area under investigation. To demonstrate the system, this dissertation presents the results of analyzing a high resolution, multi-spectral, aerial image of an urban area. It also presents the results of analyzing three different housing areas taken from a single channel, gray scale image of a color aerial photograph. These show the validity of the new approach and the power and portability of the system.

3 citations


Proceedings ArticleDOI
01 Mar 1991
TL;DR: A general approach is developed that using both continuity and shape constraints for fitting axial-curve models to derived feature patterns to allow for noise datums to be disregarded, while missing data can be inferred by the interpretation of axial point sequences.
Abstract: The problem of model-based object recognition is considered as a computational process incorporating a means of clustering feature data consistent with the parts of a structural shape model. A general approach is developed that using both continuity and shape constraints for fitting axial-curve models to derived feature patterns. This integrated approach allows for noise datums to be disregarded, while missing data can be inferred by the interpretation of axial point sequences. Complete object structures are recovered using a circular operator to detect features of shape discontinuity (corners, junctions and tips). The approach is demonstrated on images from various domains, with the main result being a suburban road network analysis of a high resolution aerial image. Other results include overlapping circles, circuit board traces, and a LANDSAT image of the Mississippi river.© (1991) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

1 citations


Proceedings ArticleDOI
01 Jul 1991
TL;DR: In this article, a new measuring method was developed to optimize the submicron CD measurements with a conventional optical microscope system, and an optimum combination of the inspection system optical parameters was used to accurately and precisely measure each feature of interest.
Abstract: A new measuring method was developed to optimize the submicron CD measurements with a conventional optical microscope system. An optimum combination of the inspection system optical parameters is used to accurately and precisely measure each feature of interest. Such combinations are considered to define the 'operating points' for the new measuring method. Also, the slope of the logarithm of the image intensity profile was determined to be an appropriate metric of aerial image quality in order to predict the operating points number and their placement. This paper discusses the experimental results obtained in measuring 0.75 micrometers isolated spaces with the proposed method and the construction of the operating points for this feature, with the measured linewidth data.