scispace - formally typeset
Search or ask a question

Showing papers on "Aerial image published in 1997"


Journal ArticleDOI
TL;DR: A regression-based model was developed relating aerial image quality, expressed in terms of the National Imagery Interpretability Rating Scale (NIIRS), to fundamental image attributes, and allows system designers and operators to perform trade-offs for the optimization of image quality.
Abstract: A regression-based model was developed relating aerial image quality, expressed in terms of the National Imagery Interpretability Rating Scale (NIIRS), to fundamental image attributes. The General Image-Quality Equation (GIQE) treats three main attributes: scale, expressed as the ground-sampled distance; sharpness, measured from the system modulation transfer function; and the signal-to-noise ratio. The GIQE can be applied to any visible sensor and predicts NIIRS ratings with a standard error of 0.3 NIIRS. The image attributes treated by the GIQE are influenced by system design and operation parameters. The GIQE allows system designers and operators to perform trade-offs for the optimization of image quality.

256 citations


Journal ArticleDOI
TL;DR: Experimental results, aberrations, and reflectometric directionality for four subjects are consistent with previous findings.
Abstract: We propose a new method for ray tracing in the living human eye. It consists of delivering a narrow light pencil from an unexpanded laser beam and recording the integrated energy and position of the aerial image formed by the outgoing beam (after reflection off the retina and passage through the fully open pupil of the eye and camera lens). The lateral displacement of the aerial image is proportional to the geometrical aberration (displacement) of the incoming ray at the retina. In addition, its integrated energy is proportional to retinal reflectance that is highly directional [related to the Stiles-Crawford (SC) effect and to the effective pupil transmittance]. This method permits us, by delivering rays through different equispaced positions at the pupil plane, to sample the complex pupil function: Its magnitude is a measure of the effective pupil transmission and its phase is the wave aberration. Experimental results, aberrations, and reflectometric directionality for four subjects are consistent with previous findings.

138 citations


Journal ArticleDOI
TL;DR: In this paper, a fast computational method for calculating aerial images of integrated circuit masks produced by a partially coherent optical projection system is described, which relies on coherent decompositions of partially coherent imaging system models as proposed by Pati and Kailath.
Abstract: Modeling aerial images has recently become a crucial component of semiconductor manufacturing. As all steppers employ partially coherent illumination, such modeling has been computationally intensive for all but elementary patterns. In this paper we describe a fast computational method for calculating aerial images of integrated circuit masks produced by a partially coherent optical projection system. The method described relies on two tools to realize fast computation: (1) coherent decompositions of partially coherent imaging system models as proposed by Pati and Kailath (1994), and (2) the use of "basis" (or building block) images that are well-suited to describe integrated circuit patterns. Examples are presented in which aerial images are computed for large mask areas. The proposed method represents a speed improvement of several orders of magnitude over a more traditional, and more general, approach (SPLAT from the University of California, Berkeley).

110 citations


Proceedings ArticleDOI
07 Jul 1997
TL;DR: In this article, a closed-loop Optical Proximity Correction (OPC) control system was used to generate precompensated mask geometries which account for pattern transfer distortion effects at small feature sizes.
Abstract: In previous work we combined fast aerial image simulation with a closed-loop Optical Proximity Correction (OPC) control system to generate pre-compensated mask geometries which account for pattern transfer distortion effects at small feature sizes. We also presented the variable- threshold resist (VTR) model in which an image-dependent threshold is used to calculate linewidths directly from the image intensity. The model parameters can be determined by `tuning' the model with linewidth measurements from chosen sample sites on the wafer. In this paper, we present verify our OPC approach experimentally by showing after etch SEM wafers of corrected and uncorrected designs. In doing so, we show that (1) OPC can eliminate bridging effects in uncorrected designs, (2) VTR model is fairly insensitive to process variations and (3) mask writing effects are important and cannot be ignored.

95 citations


Patent
16 Jun 1997
TL;DR: In this paper, an ideal mask image is defined and compared to the aerial image of the defective mask to determine the repair parameters, and the mask is then repaired in accordance with the parameters to correct the mask defect.
Abstract: A method of repairing a semiconductor phase shift mask comprises first providing a semiconductor mask having a defect and then illuminating the mask to create an aerial image of the mask. Subsequently, the aerial image of the mask is analyzed and the defect in the mask is detected from the aerial image. An ideal mask image is defined and compared to the aerial image of the defective mask to determine the repair parameters. Unique parameters for repairing the mask defect are determined by utilizing the aerial image analysis and a look-up table having information on patch properties as a function of material deposition parameters. The mask is then repaired in accordance with the parameters to correct the mask defect. A patch of an attenuated material may be applied to the mask or a predetermined amount of material may be removed from the mask. The aerial image of the repair is analyzed to determine whether the repair sufficiently corrects the defect within predetermined tolerances.

87 citations


Patent
08 May 1997
TL;DR: In this paper, a method of simulating a masking process in which a process simulator is used to produce an aerial image is presented, where the input information includes a digital representation of a patterned mask and a data set.
Abstract: A method of simulating a masking process in which a process simulator is used to produce an aerial image. The simulator is configured to receive input information. The input information includes a digital representation of a patterned mask and a data set. Each element of the data set corresponds to one of a plurality of parameters associated with the masking process. The simulator is configured to produce an aerial image based upon the input information. The aerial image represents the simulator estimation of a pattern that would be produced by the masking process using the patterned mask under conditions specified by the data set. The method further includes the step of supplying the input information to the simulator to produce the aerial image. A first data base is then generated from the aerial image. The first data base is a digital representation of the aerial image. Thereafter, the pattern is produced on a semiconductor substrate using the masking process and the patterned mask. The pattern is produced under the conditions specified by the data set. A second data base is then generated wherein the second data base is a digital representation of the actual pattern. The first data base and the second data base are then compared to produce an error data base. The error data base is indicative of differences between the aerial image and the pattern. Thereafter, the process simulator is modified based upon the error data base to minimize the differences between a successive iteration of the aerial image and the pattern.

70 citations


Proceedings ArticleDOI
28 Jul 1997
TL;DR: A hierarchical and interactive mask data design system (PROPHET) has been developed for aerial image simulation, fast subpeak overlap checking of adjacent patterns in attenuated phase shifting masks, and automatic phase-shifter placement as mentioned in this paper.
Abstract: A hierarchical and interactive mask data design system (PROPHET) has been developed for aerial image simulation, fast subpeak overlap checking of adjacent patterns in attenuated phase-shifting masks, and automatic phase-shifter placement. This system, linked with a layout editor through an added-on menu, allows the designer to perform layout while concurrently considering mask data, taking into account design restrictions imposed by ultra-high resolution technology.

63 citations


Patent
24 Dec 1997
TL;DR: In this article, a simulation method for simulating in a lithographic process is disclosed, and the method can expect a size of a resist pattern by obtaining a diffused aerial image model(DAIM) by determining a simplified model in a aerial image to represent a resist process without simulating full processes including a resist processes, and then applying the DAIM to a threshold model.
Abstract: A simulation method for simulating in a lithographic process is disclosed, and the method can expect a size of a resist pattern by obtaining a diffused aerial image model(DAIM) by determining a simplified model in a aerial image to represent a resist process without simulating full processes including a resist process, and then applying the DAIM to a threshold model.

60 citations



Proceedings ArticleDOI
07 Jul 1997
TL;DR: In this article, a method for optical proximity correction (OPC) based on the principle of aerial image matching is described. And the authors describe the use of a vertex-based geometry engine to correct very large microprocessor-style random logic chips of up to 20 million transistors.
Abstract: We describe a method for optical proximity correction (OPC) based on the principle of aerial image matching. Three basic, sub-resolution elements are used: scattering bars, anti-scattering bars, and serifs. We examine the effects of adjusting the sizes and placements of all three elements, and report the improvements achieved, in CD uniformity, printing fidelity, resolution, and depth of focus. We describe the use of a vertex-based `geometry engine' to correct very large microprocessor-style random logic chips of up to 20 million transistors. Our experience producing over 200 full-chip i-line OPC reticles, and the extensibility of the method to deep ultraviolet wavelengths, are presented.

50 citations


Book ChapterDOI
01 Jan 1997
TL;DR: This work describes a method for detecting rectilinear buildings and constructing their 3-D shape descriptions from a single aerial image of a general viewpoint and some results and their evaluation are included.
Abstract: We describe a method for detecting rectilinear buildings and constructing their 3-D shape descriptions from a single aerial image of a general viewpoint 2-D roof hypotheses are generated from linear features by perceptual grouping Good hypotheses are selected and then verified by computing wall and shadow evidence for them, which also provide the height information for the buildings A 3-D reasoning process resolves conflicts among hypotheses in 3-D space Results from several images can be integrated at a high level An interactive system allows efficient editing of results by making use of the analysis performed by the automatic system; it also allows for some initial preparation of the data to improve results of the automatic system Some results and their evaluation are included

Book ChapterDOI
01 Jan 1997
TL;DR: A representational scheme for the analysis of man-made structures in aerial images and maps is described and two example nets are given to demonstrate the flexibility and applicability of the approach.
Abstract: A representational scheme for the analysis of man-made structures in aerial images and maps is described. Knowledge about object structures is represented by a set of productions. The interaction of the productions is depicted by production nets. The approach is discussed in relation to similar representations. Two example nets are given to demonstrate the flexibility and applicability of the approach. The first one is on the automatic 3D structure analysis of suburban scenes in series of aerial images. The second is on the automatic construction of descriptions of complex buildings in vector maps.

Patent
Jin-Hong Park1, Young-hun Yu1
09 Oct 1997
TL;DR: In this paper, a method for measuring an aerial image whereby influences of various defects existing on patterns formed on a photomask as well as the surface of the substrate can be inspected is presented.
Abstract: Apparatus and method for measuring an aerial image whereby influences of various defects existing on patterns formed on a photomask as well as the surface of the photomask substrate can be inspected. The aerial image measuring apparatus includes an optical transmitting device, an optical reflecting device and an aerial image forming device. The optical reflecting device includes a beam splitter and a reflecting mirror. The reflecting mirror switches the path of light so that the light transmitted along the reflected light path is irradiated to the surface of the photomask on which the patterns are formed. According to an aerial image measuring method of the present invention, either transmitted light or reflected light is selected for analysis, the selected light is converted into an electrical signal to form an aerial image, and the aerial image is measured.

Proceedings ArticleDOI
12 Oct 1997
TL;DR: The initial investigations in applying artificial immune systems to feature segmentation in remotely sensed images are described, using an AIS for road pixel identification and observing several areas for further development.
Abstract: This paper describes our initial investigations in applying artificial immune systems to feature segmentation in remotely sensed images. The current generation of commercial imaging satellites provides increased opportunities for automated image analysis due to the large volume of high resolution imagery they will produce. Artificial immune systems (AIS) are successful in other pattern recognition tasks and have several similarities to the aerial image classification problem. We use an AIS for road pixel identification and observe several areas for further development.

Proceedings ArticleDOI
07 Jul 1997
TL;DR: In this paper, an implementation of a simple, semi-empirical resist model into an OPC algorithm, which up to now uses aerial image simulation, is described, assuming that the main component of proximity effects comes from the aerial image.
Abstract: The implementation of a simple, semi-empirical resist model into an OPC algorithm, which up to now uses aerial image simulation, is described. The model assumes that the main component of proximity effects comes from the aerial image. It uses two pattern density functions to describe the shift in edge placement due to resist and etching processes. Besides the parameters for the aerial image (numerical aperture, coherence, wavelength, lens aberrations, defocus, etc.), the model needs only four additional parameters. The model is tested using resist simulation and electrical linewidth measurement data from fully processed testwafers. For linewidths of 350 nm and larger, printed with i-line lithography into a standard i-line resist, the OPC algorithm with the implemented model reduces proximity effects to less than 10 nm. A similar performance is indicated by preliminary data of electrical linewidth measurements.

Journal ArticleDOI
TL;DR: Some metrics useful for characterizing certain patterns of individual tree crowns are presented and could be applied in an automated image analysis system for tree species classification in digital high spatial resolution aerial images.
Abstract: Some metrics useful for characterizing certain patterns of individual tree crowns are presented. They could be applied in an automated image analysis system for tree species classification in digital high spatial resolution aerial images. The structure‐based measures made use of the internal pattern (also called texture) of individual tree crowns. The infrared layer of colour infrared aerial images was utilized and the different crown patterns were extracted using the second‐order Laplace transform. Skeletonization was used for data compression and to enhance features to be used in the subsequent analysis. The resulting skeleton branches were one pixel thick but they still contained the structural pattern that was identified by the Laplace transform. The skeleton was split into simple segments without branches. The orientation of each individual segment in the tree crown was calculated. A Hough transform technique was developed for analysing whether they collectively had a dominating parallel or radial st...

Journal ArticleDOI
TL;DR: The commonly accepted hypothesis of a purely optical origin of subjective star images, based on diffraction patterns produced by a simple schematic model of the suture lines of the anterior lens surface, is supported.
Abstract: Star images are entoptic phenomena that most people can perceive when looking at bright point sources in darkness. Diffraction and/or ocular aberrations seem to be a plausible cause for the star patterns, but to our knowledge no objective recordings of retinal optical images showing these characteristic patterns have been reported before. We have projected a small Gaussian spot of light onto the retina and registered the aerial image formed externally through a fully dilated pupil [one-and-a-half-pass method [J. Opt. Soc. Am. A 12, 2385 (1995)]]. We have verified that, for fully dilated pupils (> 9 mm), the blur caused by the finite size of the Gaussian spot is small. Consequently, these aerial images are a reasonably good approximation of the (inverted) optical point-spread function of the eye. These objectively recorded images displayed the distinctive radiating patterns of star images, which were compared with subjective patterns sketched out by the same observers. A strikingly close match was found between the objective and the subjective patterns of the same eyes. In addition, we computed the diffraction patterns produced by a simple schematic model of the suture lines of the anterior lens surface, also obtaining star-shaped images. These results support the commonly accepted hypothesis of a purely optical origin of subjective star images.

Journal ArticleDOI
TL;DR: In this article, the authors performed aerial image simulations to characterize critical defects on extreme-ultraviolet lithography masks based on their interactions with typical mask patterns, and used an exposure-defocus process window allowing a 10% critical dimension variation was used as the criteria for defining the critical defects.
Abstract: We have performed aerial image simulations to characterize critical defects on extreme-ultraviolet lithography masks based on their interactions with typical mask patterns. Instead of the conventional critical dimension criteria, an exposure–defocus process window allowing a 10% critical dimension variation was used as the criteria for defining the critical defects. We also carried out aerial image simulations of isolated defects to obtain information for future reticle blank defect inspection needs.

Book ChapterDOI
TL;DR: A solution for the complementary task of extracting fixed structures that remain fixed under the transformations that relate corresponding contour segments in regular patterns in an efficient and non-combinatorial way, based on the iterated application of the Hough transform.
Abstract: In the companion paper [7] a grouping strategy with a firm geometrical underpinning and without the problem of combinatorias is proposed. It is based on the exploitation of structures that remain fixed under the transformations that relate corresponding contour segments in regular patterns. In this paper we present a solution for the complementary task of extracting these fixed structures in an efficient and non-combinatorial way, based on the iterated application of the Hough transform. Apart from grouping, this ‘Cascaded Hough Transform’ or CHT for short can also be used for the detection of straight lines, vanishing points and vanishing lines.

Book ChapterDOI
01 Jan 1997
TL;DR: This paper presents a method to generate three-dimensional building hypotheses in dense urban areas using simultaneously scanned maps and aerial images, and proposes two different methods for the detection of the buildings.
Abstract: In this paper we present a method to generate three-dimensional building hypotheses in dense urban areas using simultaneously scanned maps and aerial images. This technique relies on various informations extracted from the scanned map on different features like the road network, the urban blocks and the buildings. These features are used to guide the analysis of a disparity image calculated with a stereo pair of aerial images. Two different methods are proposed for the detection of the buildings. They basically differ from each other by the reliability they give to the different features extracted from the map. The effectiveness of this approach is demonstrated using complex imagery over large and dense urban areas presenting a large variety of landscapes.

Book ChapterDOI
01 Jan 1997
TL;DR: A general paradigm of knowledge-based system for automatic road extraction from aerial photography and high-resolution remotely sensed images is presented and a generalized antiparallel pair is proposed to describe road boundaries.
Abstract: The paper presents a general paradigm of knowledge-based system for automatic road extraction from aerial photography and high-resolution remotely sensed images. The method is based on low-level image processing for edge detection and linking, mid-level processing for feature formation, and high-level processing for the recognition of features. A generalized antiparallel pair is proposed to describe road boundaries. The recognition of roads is based on a model which includes the geometric and radiometric properties of a road and contextual information. The knowledge is expressed as rules in Prolog. To automate the generation of rules, machine learning techniques are exploited and a simple case study using a relational learning system FOIL is presented.

Journal ArticleDOI
TL;DR: This work suggests to use manhole covers as a specific type of circular landmarks which frequently occur in urban environments and introduces a model-based approach for localizing these features with high subpixel precision based on a parametric intensity model.
Abstract: The photogrammetric exploitation of aerial images essentially requires the accurate reconstruction of the imaging geometry. This especially includes the determination of the orientation of the camera. Usually, the orientation parameters are determined by spatial resection, knowing the exact coordinates of control points on the ground and in the image. The reliability and accuracy of this registration task strongly depend on the selection of suitable landmarks as well as on the precision obtained for landmark localization. In this contribution, we consider the problem of automatic landmark extraction for the purpose of aerial image registration. We suggest to use manhole covers as a specific type of circular landmarks which frequently occur in urban environments and we introduce a model-based approach for localizing these features with high subpixel precision. Our approach is based on a parametric intensity model. Localization of the landmarks is done by directly fitting this model to the observed image intensities. Since we have an explicit description of the landmark it is possible to verify the result by exploiting the estimated parameters. We also address the problem of landmark detection which can greatly be supported by template matching. The template used is a prototype model which is generated from representative examples during a training phase. The training scheme also provides initial values for the fitting procedure as well as thresholds for the final verification step. The full approach has been tested on synthetic as well as on real image data.

Book ChapterDOI
01 Jan 1997
TL;DR: This work presents and discusses results for the recognition of objects in aerial images by using context information, a knowledge based system in which semantic networks are used as a repository for models.
Abstract: The purpose of our system MOSES1 (Map Oriented SEmantic image underStanding) is the recognition of objects in aerial images. It is a knowledge based system in which semantic networks are used as a repository for models. The models are automatically refined by using knowledge gained from topographical maps or GIS dala. The analysis process is formulated as an optimization problem. We present and discuss results for the recognition of objects in aerial images by using context information.

Proceedings ArticleDOI
17 Jun 1997
TL;DR: A multi-image focus of attention mechanism has been developed that can quickly distinguish raised objects like buildings from structured background clutter typical to many aerial image scenarios using the space-sweep stereo method.
Abstract: A multi-image focus of attention mechanism has been developed that can quickly distinguish raised objects like buildings from structured background clutter typical to many aerial image scenarios. The underlying approach is the space-sweep stereo method, in which features from multiple images are backprojected onto a virtual, horizontal plane that is methodically swept through the scene. Back-projected gradient orientations from multiple images are highly correlated when they come from scene locations containing structural edges that are roughly horizontal, like building roofs and terrain; otherwise, they tend to be uniformly distributed. These observations are used to define a structural salience measure that can determine whether a given volume of space contains a statistically significant number of structural edges, without first performing precise reconstruction of those edges. The utility of structural salience for computing focus of attention regions is illustrated on sample data from Ft. Hood, Texas.

Proceedings ArticleDOI
21 Apr 1997
TL;DR: This paper describes parameter optimization strategies for such algorithms and motivates these strategies by focussing on aerial image exploitation and studying certain specific aerial image understanding algorithms, namely local vehicle detection and global vehicle configuration detection.
Abstract: Robustness as well as the ability to work in an unsupervised mode are two desirable features of algorithms employed on large image databases. This paper describes parameter optimization strategies for such algorithms and motivates these strategies by focussing on aerial image exploitation and studying certain specific aerial image understanding algorithms, namely local vehicle detection and global vehicle configuration detection. The paper first gives a brief introduction to the problem in the context of aerial imagery. Next, a high level description of the algorithms and parameters that need to be optimized is given. Strategies for parameter optimization are illustrated using examples. Finally a discussion on the applicability and scope for improvement of the strategies is given.

Proceedings ArticleDOI
07 Jul 1997
TL;DR: In this paper, the authors proposed a threshold model based on an aerial image and the threshold of intensity in order to predict deviations in the line width and length due to the optical proximity effect.
Abstract: The optical proximity effect becomes significant near the practical resolution limit of photolithography, depending on the wavelength and numerical aperture of the stepper. Recently, VLSI design rules have almost reached their limits. Larger ICs cannot be designed and be manufactured without using a lithographic DRC (design rule check) tool or an OPC (optical proximity correction) tool. Therefore, it has become necessary to develop a technology which can accurately predict resist features from the designed circuit layout. We studied deviation in both the line width and the length due to proximity effect and investigated the phenomena. Also we developed a technology which can accurately predict the behavior of the proximity effect from an aerial image. This technology is based on a simple threshold model. We optimized the calculations for an aerial image and the threshold of intensity in order to predict deviations in the line width and length. We also considered the profile of an aerial image to predict the critical point. The calculations for an aerial image and threshold which we optimized in this manner can be used to predict 2D patterns.

Proceedings ArticleDOI
12 Feb 1997
TL;DR: In this paper, the authors used a "hammerhead design approach" (a rectangle placed over the end of each line) to compensate line-shortening in the OPTISSIMO OPC tool.
Abstract: One of the most prominent process non-linearities, which are summarized under 'proximity effects' is line-shortening. Line- shortening is poorly modeled by phenomenological lithography simulation -- even when resist models are used, which deliver reasonable results for process windows and resist edge profiles. So the challenge for a simulation-based OPC tool is not only the required speed, but also a lack in thoroughly understanding the processes involved. The OPC tool OPTISSIMO describes optical pattern transfer primarily by simulation of the aerial image according to a phenomenological model. Differences from the actual measured dimensions (either after resist processing or after etching) are described as corrections to the aerial image simulation results. These corrections are fitted by an empirical model. We show in this paper, that this model is not only able to explain the linewidth changes due to proximity effects, but also to describe line-shortening effects with reasonable accuracy. Further we show, that using a 'hammerhead design approach' (a rectangle placed over the end of each line) is a very effective way to compensate line-shortening This technique does not require an increase of resolution at mask fabrication and increases the data volume of the corrected design only moderately. Therefore, the addition of hammerheads to the line-mode of OPTISSIMO is a very promising method to perform OPC at full-chip designs and with available mask manufacturing techniques.

Journal ArticleDOI
TL;DR: In this article, the degradation model of clear process window predicted by the aerial image contrast calculations was analyzed and compared with simulation results of the process windows' dependencies on the resist thickness.
Abstract: Viability of conventional KrF imaging featuring a numerical aperture of 0.6 for 150 nm lithography is investigated by applying a novel process to reduce resist thickness down to around 100 nm. Both simulation data of aerial image contrast and resist development are applied to understand a degradation model of clear process window predicted by the aerial image contrast calculations. Comparing simulation results of the process windows’ dependencies on the resist thickness, which are derived from combinations of aerial image contrast and resist development calculations, experimental results respecting the process windows’ dependencies on the resist thickness are then discussed to elucidate how the aerial image contrast could be degraded. It is found that the minimum requirements of the process windows of 150 nm equal lines and spaces for the early stage of 1 Gbit dynamic random access memory development could narrowly be guaranteed in the case of the thickness range of less than 300 nm, and also that a great advance in the process window would be obtained when using an unconventional imaging system with 2/3 annular aperture. Further improvement of the degraded resist profiles is demonstrated in order to obtain a more stable pattern fabrication process.

Book ChapterDOI
01 Jan 1997
TL;DR: In this article, a reliable and effective system aiming at reconstructing the ground surface and extracting buildings with relative disparity from the complex aerial imagery in urban areas is presented, where four building hypothesis extraction models are addressed.
Abstract: One of the most critical steps in 3D GIS database establishment and revision as well as automated digital photogrammetry is to capture the 3D man-made structures from stereo images automatically and accurately. The key problem for fulfilling such a task is to resolve the correspondence problem between image pairs. The most challenging problems exist in the large scale imagery of dense urban or suburban areas where the structures are very complex, irregular in shape and quite different in size. In this paper, we address an reliable and effective system aiming at reconstructing the ground surface and extracting buildings with relative disparity from the complex aerial imagery in urban areas. Four building hypothesis extraction models are addressed. Experimental results are demonstrated at the end of the paper.

Book ChapterDOI
22 Aug 1997
TL;DR: The aim of this work is to combine semantic information issued from the map with the raw information coming from a picture to help in interpreting aerial images.
Abstract: We present a set of procedures to extract useful information from maps of cities in order to help in interpreting aerial images. The aim of this work is to combine semantic information issued from the map with the raw information coming from a picture. Several cues can be extracted from maps. The road network gives the structure of the city and cut the scene in small but coherent regions: the urban blocks. The map gives also a geometric and semantic description of the contents of each urban block. This information can then be used for the interpretation of aerial images.Two applications are briefly presented: the generation of a digital terrain model (DTM) in urban areas and the 3D-description of buildings1.