scispace - formally typeset
Search or ask a question

Showing papers on "Aerial image published in 1998"


Journal ArticleDOI
TL;DR: A grouping process is used to generate 2-D roof hypotheses from fragmented linear features extracted from the input image to detect buildings and construct 3-D shape descriptions of buildings from a monocular aerial image with a general viewpoint.

349 citations


01 Jan 1998
TL;DR: The key contributions to the OPC field made in this thesis work include formulation of OPC as a feedback control problem using an iterative solution, and use of fast aerial image simulation for OPC, which truly enables full chip model-based OPC.
Abstract: In this thesis, we first look at the Optical Proximity Correction (OPC) problem and define the goals, constraints, and techniques available. Then, a practical and general OPC framework is built up using concepts from linear systems, control theory, and computational geometry. A simulation-based, or model-based, OPC algorithm is developed which simulates the optics and processing steps of lithography for millions of locations. The key contributions to the OPC field made in this thesis work include: (1) formulation of OPC as a feedback control problem using an iterative solution, (2) an algorithm for edge movement during OPC with cost function criteria, (3) use of fast aerial image simulation for OPC, which truly enables full chip model-based OPC, and (4) the variable threshold resist (VTR) model for simplified prediction of CD based off aerial image. A major contribution of this thesis is the development of a fast aerial image simulator which is tailored to the problem of OPC. In OPC applications, it is best to compute intensity at sparse points. Therefore, our fast aerial image simulator is tailored to computing intensity at sparse points, rather than on a regular dense grid. The starting point for the fast simulation is an established decomposition of the Hopkins partially coherent imaging equations, originally proposed by Gamo (14). Within this thesis, the decomposition is called the Sum of Coherent Systems (SOCS) structure. The numerical implementation of this decomposition using Singular Value Decomposition (SVD) is described in detail. Another contribution of this thesis is the development of a variable threshold resist model (VTR). The model uses the aerial image peak intensity and image slope along a cutline to deduce the development point of the resist, and has two primary benefits: (1) it is fast, (2) it can be fit to empirical data. We combine the fast aerial image simulator and the VTR model in an iterative feedback loop to formulate OPC as a feedback control problem. (Abstract shortened by UMI.)

286 citations


Journal ArticleDOI
01 Oct 1998
TL;DR: The method was evaluated by comparison with manual delineation and with ground truth on 43 randomly selected sample plots and it was concluded that the performance of the method is almost equivalent to visual interpretation.
Abstract: This paper presents an automatic multiple-scale algorithm for delineation of individual tree crowns in high spatial resolution infrared colour aerial images. The tree crown contours were identified as zero-crossings, with con- vex grey-level curvature, which were computed on the in- tensity image for each image scale. A modified centre of curvature was estimated for every edge segment pixel. For each segment, these centre points formed a swarm which was modelled as a primal sketch using an ellipse extended with the mean circle of curvature. The model described the region of the derived tree crown based on the edge segment at the current scale. The sketch was rescaled with a signif- icance value and accumulated for a scale interval. In the accumulated sketch, a tree crown segment was grown, start- ing at local peaks, under the condition that it was inside the area of healthy vegetation in the aerial image and did not trespass into a neighbouring crown segment. The method was evaluated by comparison with manual delineation and with ground truth on 43 randomly selected sample plots. It was concluded that the performance of the method is almost equivalent to visual interpretation. On the average, seven out of ten tree crowns were the same. Furthermore, ground truth indicated a large number of hidden trees. The proposed technique could be used as a basic tool in forest surveys.

223 citations


Proceedings ArticleDOI
04 Jan 1998
TL;DR: The iterated application of the Hough transform is presented as a means to exploit the degree of regularity in man-made structures to exploit regularities inCartography and other applications of remote sensing.
Abstract: Cartography and other applications of remote sensing have led to an increased interest in the (semi-)automatic interpretation of structures in aerial images of urban and suburban areas Although these areas are particularly challenging because of their complexity, the degree of regularity in such man-made structures also helps to tackle the problems The paper presents the iterated application of the Hough transform as a means to exploit such regularities It shows how such 'Cascaded Hough Transform' (or CHT for short) yields straight lines, vanishing points, and vanishing lines It also illustrates how the latter assist in improving the precision of the former The examples are based on real aerial photographs

105 citations


Book ChapterDOI
02 Jun 1998
TL;DR: The strategy put forward in this paper is to construct a polyhedral model of the roof structure, which captures the topology of the Roof structure, but which might not be very accurate in a metric sense; and then, in a second step, to improve the metric accuracy by fitting this model to the data.
Abstract: Many tasks in modern urban planning require 3-dimensional (3D) spatial information, preferably in the form of 3D city models Constructing such models requires automatic methods for reliable 3D building reconstruction House roofs encountered in residential areas in European cities exhibit a wide variety in their shapes This limits the use of predefined roof models for their reconstruction The strategy put forward in this paper is, first, to construct a polyhedral model of the roof structure, which captures the topology of the roof, but which might not be very accurate in a metric sense; and then, in a second step, to improve the metric accuracy by fitting this model to the data This decoupling of topology extraction from metric reconstruction allows a more efficient roof modelling involving less criteria And, restricting the processing, at all stages, to one or just a few roof structures, by using a colour-based segmentation of the images, allows to use constraints that are not very tight The approach has been tested on a state-of-the-art dataset of aerial images of residential areas in Brussels

90 citations


Book ChapterDOI
02 Jun 1998
TL;DR: An approach for automatic road extraction in aerial imagery which exploits the scale-space behavior of roads in combination with geometric constrained snake-based edge extraction is proposed, which for the first time allows for a bridging of shadows and partially occluded areas using the heavily disturbed evidence in the image.
Abstract: This paper proposes an approach for automatic road extraction in aerial imagery which exploits the scale-space behavior of roads in combination with geometric constrained snake-based edge extraction. The approach not only has few parameters to be adjusted, but for the first time allows for a bridging of shadows and partially occluded areas using the heavily disturbed evidence in the image. The road network is constructed after extracting crossings of various shape and topology. Reasonable results are obtained which are evaluated based on ground truth.

72 citations


Patent
Furusawa Koichi1
29 Apr 1998
TL;DR: In this paper, a fluorescence diagnostic apparatus is provided in which a site to be subjected to spectrometry can be designated in an image of a diagnostic living tissue which is taken via an endoscope, and the designated site can be automatically subjected to Spectrometry.
Abstract: A fluorescence diagnostic apparatus is provided in which a site to be subjected to spectrometry can be designated in an image of a diagnostic living tissue which is taken via an endoscope, and the designated site can be automatically subjected to spectrometry. Fluorescence from the inner wall of a body cavity of a patient is conveyed in an image guide fiber bundle (14) of an endoscope (10), and then emitted as parallel light from an eye lens (16a). A partial reflection mirror in a mirror box (50) allows most of the light to transmit therethrough, and reflects the other portion of the light. From the transmitted light, an image of the inner wall of the body cavity is formed by an imaging lens. The image is taken by a fluorescence-observation CCD (34), and displayed by a display of a video monitor device (60). On the other hand, from the light reflected by the partial reflection mirror, an aerial image of the inner wall of the body cavity is formed by an imaging lens. A touch panel is disposed on the display of the video monitor device (60). When a part of the touch panel is pressed, the tip end of a spectrometry-fiber probe of a spectroscope (39) is moved by an X-Y table (31) to a position in the aerial image which is equivalent to the pressed site of the touch panel.

54 citations


Patent
24 Feb 1998
TL;DR: In this article, a two-step method for eliminating transmission errors in alternating phase-shifting masks is described, in which the design data is selectively biased to provide a coarse reduction in the inherent transmission error between features of different phase, size, shape, and/or location.
Abstract: A two-step method for eliminating transmission errors in alternating phase-shifting masks is described. Initially, the design data is selectively biased to provide a coarse reduction in the inherent transmission error between features of different phase, size, shape, and/or location. During fabrication of the mask with the modified data, residual transmission errors are then eliminated via the positioning of the edges of the etched-quartz trenches which define the phase of a given feature to a set location beneath the opaque chrome film. Application of feedback, in which the aerial image of the mask is monitored during the positioning of the etched-quartz edges, provides additional and precise control of the residual transmission error.

53 citations


Proceedings ArticleDOI
29 Jun 1998
TL;DR: Modified aerial image including diffusion and chemical amplification, called diffused aerial image, can give the information for patterning status directly and explain experimental results very well compared to the expectation by using aerial image only without loss of simplicity and calculation speed.
Abstract: Optical proximity correction technique has been studied. The occurrence of proximity effect in the optical lithography is composed of an element caused by diffraction of light, which can be explained by aerial image simulation, and an element caused by resist process, in which acid diffusion is a major factor causing non-linearity. In the case of very thin resist, absorbed energy that generates the acid can be described by two-dimensional instead of three-dimensional distribution. Under this simple assumption, acid diffusion by post exposure bake is equivalent to the diffusion of aerial image, and chemical amplification can be analytically described also. Modified aerial image including diffusion and chemical amplification, we call it diffused aerial image, can give the information for patterning status directly. Therefore, diffused aerial image model can explain experimental results very well compared to the expectation by using aerial image only without loss of simplicity and calculation speed.

47 citations


Patent
15 Sep 1998
TL;DR: In this paper, a method of generating aerial images of large mask areas obtained during the exposure step of a photo-lithographic process when fabricating a semiconductor integrated circuit silicon wafer is described.
Abstract: A method of simulating aerial images of large mask areas obtained during the exposure step of a photo-lithographic process when fabricating a semiconductor integrated circuit silicon wafer is described. The method includes the steps of defining mask patterns to be projected by the exposure system to create images of the mask patterns; determining an appropriate sampling range and sampling interval; generating a characteristic matrix describing the exposure system; inverting the matrix to obtain eigenvalues as well as the eigenvectors (or kernels) representing the decomposition of the exposure system; convolving the mask patterns with these eigenvectors; and weighing the resulting convolution by the eigenvalues to form the aerial images. The method is characterized in that the characteristic matrix is precisely defined by the sampling range and the sampling interval, such that the sampling range is the shortest possible and the sampling interval, the largest possible, without sacrificing accuracy. The method of generating aerial images of patterns having large mask areas provides a speed improvement of several orders of magnitude over conventional approaches.

47 citations


Proceedings ArticleDOI
06 Jul 1998
TL;DR: A method is presented that automatically generates 3D models of generic house roofs from aerial images of residential areas in urban sites with the possibility of delineating regions in the images that correspond well to actual roof structures by adopting a strategy of hypothesis generation and verification.
Abstract: A method is presented that automatically generates 3D models of generic house roofs from aerial images of residential areas in urban sites. Crucial to the method is the possibility of delineating regions in the images that correspond well to actual roof structures. Restricting the processing to relatively small regions allows at all stages of the algorithm to use constraints that are not very tight, and, at the same time, to keep the combinatorics under control. All modelling is done by reasoning in 3D. By adopting a strategy of hypothesis generation and verification the authors are not only are capable of exploiting all available image data at every step in the algorithm, but also to treat all views equally. Decoupling topology retrieval from metric accuracy makes it possible to generate and test combinations which otherwise would have been ruled out by more tight constraints. The method is implemented and tests on the correctness and completeness of the extracted roof models have been performed.

Patent
23 Nov 1998
TL;DR: In this paper, a binocular indirect ophthalmoscope for observing and examining the fundus of the human eye was presented, which integrates an electro-optic imaging system in the viewing optics.
Abstract: The present invention relates to a binocular indirect ophthalmoscope for observing and examining the fundus of the human eye The ophthalmoscope of the invention integrates an electro-optic imaging system in the viewing optics of the ophthalmoscope Advantageously, such integration permits the stereoscopic observation of the retina and choroid by employing radiation ranging from the near ultraviolet to the infrared, including the visible spectrum A light source illuminates a desired portion of a patient's fundus and the radiation reflected in response to the illuminating radiation is brought to focus to produce an aerial image of the fundus A pair of ophthalmoscope lenses then magnify and image the aerial image along two different optical paths onto imaging sensors, such as charge coupled devices (CCDs) and image cameras, or image tubes such as image intensifiers Visible displays, such as liquid crystal displays (LCDs), cathode ray tubes (CRTs), or the fluorescent screen of the image tube, then photoelectrically convert the fundus images formed on the imaging sensors and direct corresponding visible images thereof to an observer's pupils by means of ocular lenses

Proceedings ArticleDOI
TL;DR: Based on the DEM previously computed, geometric and colorimetric criteria are used for the fusion of parallel regions, for the detection of symmetrical regions in the 3D object space and for the reconstruction of roof buildings.
Abstract: We describe a new method for the detection and reconstruction of building in dense urban areas using high resolution aerial images. Our approach begins with the generation of a dense digital elevation model (DEM). A sparse disparity map is densified using a region-based segmentation of the left aerial image: each detected region is tested to be planar in the disparity map. A strategy is proposed to optimize the generation of these planar surfaces taking into account the noise present in the sparse disparity map and the robustness and complexity of different algorithms for planar approximation. The second step of our approach deals with the generation of building hypotheses. Based on the DEM previously computed, geometric and colorimetric criteria are used for the fusion of parallel regions, for the detection of symmetrical regions in the 3D object space and for the reconstruction of roof buildings. Experimental results are presented on a scene in the suburb of Bruxelles with color images at the resolution of 10 cm/pixel.© (1998) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Proceedings ArticleDOI
05 Jun 1998
TL;DR: In this article, the authors illustrate the importance of considering scattering from the illuminator in extreme UV lithography systems and show that a significant amount of amplitude modulation noise is present in the aerial image if scatter is present.
Abstract: We illustrate the importance of considering scattering from the illuminator in extreme UV lithography systems Our results indicate that a significant amount of amplitude modulation noise is present in the aerial image if scatter is present in a Koehler illuminator The effect depends on the spatial frequency of the pattern on the mask, the numerical aperture of the projection camera, the coherence factor, and placement of the plane in the illuminator where the scattering occurs

Patent
Neil S. Wester1
31 Mar 1998
TL;DR: In this paper, an improved method and apparatus for forming microlenses is described, which involves defocusing light from a mask during semiconductor processing to control the curvature of micro-lenses being formed.
Abstract: An improved method and apparatus for forming microlenses is described. The method involves defocusing light from a mask during semiconductor processing to control the curvature of microlenses being formed.

Proceedings ArticleDOI
07 Sep 1998
TL;DR: In this paper, the applicability of the wavelet transform and image scaling to the detection of buildings in monocular, greyscale aerial photography was examined and the results from employing the different techniques indicate that both the high and low frequency components of an aerial image offer useful information for detecting buildings and other man-made features.
Abstract: In this paper we examine the applicability of the wavelet transform and image scaling to the detection of buildings in monocular, greyscale aerial photography. Both of these techniques have strong frequency domain interpretations and thus differ from the traditional methods used in building extraction systems. Our results from employing the different techniques indicate that both the high and low frequency components of an aerial image offer useful information for the detection of buildings and other man-made features.

Proceedings ArticleDOI
18 Dec 1998
TL;DR: In this paper, the role of the nonlinearity of the resist in reducing the error observed at the wafer in comparison to the errors observed in the aerial image (MEFaerial) was investigated.
Abstract: Amplification of reticle linewidth variations in imaging is examined through direct measurements of the mask error factor (MEF), which typically is used to describe this undesirable effect. The error observed in the aerial image linewidth is decoupled from the error in the resulting resist profile linewidth with the introduction of two separate mask error factors, namely the aerial image MEFaerial and the resist MEFresist. These MEF's are evaluated from systematic aerial image measurements and resist profile measurements on printed wafers respectively. In many cases the noise in the metrology tools used in the experiment, combined with the very high quality of the test reticle used, limit the statistical confidence of our results. However useful insight is gained on the role of the non-linearity of the resist in reducing the error observed at the wafer (MEFresist) in comparison to the error observed in the aerial image (MEFaerial). It is found that 180 nm lines (k1 equals 0.38) have a MEFaerial approximately equals 1.5 and a MEFresist approximately equals 1. The effect of scattering bars OPC on the MEF for features sizes 180 nm and 220 nm (k1 factors of 0.38 and 0.47 respectively) is generally small and on the order of less than 10%.

Proceedings ArticleDOI
29 Jun 1998
TL;DR: In this article, a phase transmission filter was introduced into the exit pupil plane for the fabrication of isolated contact holes, and the depth of focus (DOF) was enhanced by a factor of 1.5 to 2.
Abstract: Two processes were investigated theoretically using both a scalar wave optics model and a microlithography simulation tool (Solid-C). The first method introduces a phase- transmission filter into the exit pupil plane. The results of both the scalar optics calculation (aerial image) and the Solid-C simulation (resist image) show that the final image profile is optimum, when the exit pupil plane filter is divided into two zones with the inner zone having a phase retardation of (pi) rad with respect to the outer one and the ratio of the radii of the zones is 0.3. Using this optimized filter for the fabrication of isolated contact holes, the focus-exposure process window increases significantly, and the depth of focus (DOF) can be enhanced by a factor of 1.5 to 2. The second technique enhances the DOF of the aerial image by means of a birefringent plate inserted between the projection lens and the wafer. As the shift in focus introduced by the plate strongly depends on the refractive index, two focal points will appear when using a birefringent plate instead of an isotropic plate: the first one is created by the ordinary, and the second one is created by the extraordinary ray. The distance between these images can be controlled by the thickness of the plate. The results of the calculations show that application of a thin but strongly birefringent material is a better candidate than using a slightly birefringent but thick plate, since aberrations proportional to the thickness can cause undesirable effects.

Proceedings ArticleDOI
TL;DR: The estimated depth map obtained with a synthetic aerial image sequence, shows that exploiting several images with different baselines reduces the reconstruction errors due to noise and false matches.
Abstract: The context of this study is the 3D reconstruction of urban scenes from aerial images. We intend to estimate a dense depth map precise enough to be exploited by recognition algorithms. In this paper, we show how a multi-view approach made up of very simple and automatic operations can achieve this goal. Unlike 2-view stereovision methods, we do not exploit a disparity map for depth estimation. The proposed method consists in directly scanning depth. For each depth hypothesis, a reference image is projected by using a planar perspective transformation. The correct hypothesis is found pixel by pixel by minimizing a simple matching criterion based on a gray level comparison. We calculate this criterion for each pixel of the reference image, each depth hypothesis and each baseline formed with the reference image and and an other image of the sequence. The estimated depth map obtained with a synthetic aerial image sequence, shows that exploiting several images with different baselines reduces the reconstruction errors due to noise and false matches. We have implemented an algorithm composed of simple automatic computations, that should be highly parallelizable.

Proceedings ArticleDOI
05 Jun 1998
TL;DR: In this paper, the authors investigated the issues of aerial image formation by the reflective optics with account for aberrations and developed a simplified method to determine the optical parameters of the ring-field system that minimize aberration of the 3rd order and partially of the 5th.
Abstract: A traditional aerial image model has been used to demonstrate a good applicability of 13nm EUV projection lithography to printing sub-0.1mm features. To estimate the potential of a possible candidate for EUV optics--a two- mirror projection system, we investigated the issues of aerial image formation by the reflective optics with account for aberrations. We have developed a simplified method to determine the optical parameters of the ring-field system that minimize aberrations of the 3rd order and, partially, of the 5th. As a result, we have found that the uncompensated aberrations contribute to a sharply asymmetrical impulse response of the ring-field projection system, where the characteristic width of the impulse response in some directions might be two times larger than the diffraction limit.

Proceedings ArticleDOI
29 Jun 1998
TL;DR: In this article, an approach to aberration tolerancing utilizing aerial image parameterization based on photoresist capability is described, where a minimum aerial image metric is determined from either a characterized resist process or desirable resist attributes and includes definition of resist exposure, diffusion, and development properties.
Abstract: Described here is an approach to aberration tolerancing utilizing aerial image parameterization based on photoresist capability. A minimum aerial image metric is determined from either a characterized resist process or desirable resist attributes and includes definition of resist exposure, diffusion, and development properties. Minimum aerial image requirements can then be correlated to resist performance to allow for the evaluation of various feature sizes and types. This allows, for example, the prediction of lens performance through focus, across the field, and in the presence of small levels of internal flare. Results can then be compared with more conventional optical metrics such as Strehl ratio, partial coherence contrast, or image threshold CD. Results are presented for three commercial small field catadioptric 193 nm lithographic lenses. Aberration levels for each lens at several field positions and at several wavelengths has been described using 37. Zernike polynomial coefficients. Minimum aerial image requirements have been correlated to resist performance to allow the evaluation of various feature types, a unique situation when no mature 193 nm resist process existed. Additionally, the impact of modified illumination on aberrations is presented.

Journal ArticleDOI
TL;DR: A new concept of virtual mask technology was applied to design an optical proximity correction (OPC), which involves adding a small dummy mask pattern on a simulation mask layout, to realize mask error effect.
Abstract: A new methodology for optical proximity correction design is required for sub-0.25 µm device generation, to predict and counteract mask error effects. We applied a new concept of virtual mask technology to design an optical proximity correction (OPC), which involves adding a small dummy mask pattern on a simulation mask layout, to realize mask error effect. The aerial image simulation results for the new methodology suggested a totally different type of OPC. Experimental results were more accurately predicted by the new methodology than the old methodology. For 0.25 µm features hammerhead OPC shows much improved results of intra-field CD uniformity of 20 nm, of 3 sigma, and wide usable process window of 14% exposure latitude and 0.8 µm depth of focus, compared with serif OPC that was selected by the old methodology. This new methodology with virtual mask technology will be applied to sub-0.25 µm generations.

Patent
18 Dec 1998
TL;DR: In this paper, the authors proposed a wafer transfer apparatus that detects the presence or absence of a wirelessly attached wafer at a specific position within a tape, especially within a front-open cassette and accurately detects its position.
Abstract: PROBLEM TO BE SOLVED: To provide a wafer transfer apparatus which detects the presence or absence of a wafer at a specific position within a wafer cassette, especially within a front-open cassette, and accurately detects its wafer position. SOLUTION: The wafer transfer apparatus includes an illumination system 1 for illuminating a specific pattern, an optical system 3 for projecting, as an aerial image, the specific pattern or a light source image at a wafer sectional position on an optical axis nearly parallel to wafers 8, 9 and 10 within a wafer cassette 6, an optical system 12 for projecting the aerial image 5 onto a one- dimensional sensor 13, and a mechanism for changing relative positions between the aerial image 5 and the wafers within the wafer cassette. The apparatus detects the presence or absence of a wafer at a specific position within the wafer cassette and its accurate wafer position.

Journal ArticleDOI
TL;DR: In this paper, the authors present a semi-empirically derived behavior model for optical proximity effects and their correction for 0.18 μm deep-ultraviolet (UV) lithography processes.
Abstract: We present the characterization of optical proximity effects and their correction for 0.18 μm deep-ultraviolet (UV) lithography processes using a semiempirically derived “behavior” model. Since critical dimension (CD) measurement data for deriving the model are taken after resist pattern transfer into the underlying layer (α-Si), the model incorporates all of the different proximity effect contributors: optics, mask, resist bake, etching, etc. The modeling technology we use allows for user defined model forms. It was empirically determined that the CD behavior could be adequately described by the diffusion of the aerial image with one Gaussian, and an adjustment to the signal threshold based on signal slope. The validity of the model for random geometry was confirmed by comparing contours drawn on Prospector™ with two-dimensional configurations of the uncorrected and corrected parts of a 0.18 μm test circuit. The model is then used for proximity effects correction of the gate level of a 0.18 μm test desig...

Proceedings ArticleDOI
TL;DR: By the hand of real world examples, this work shows that the combined detection/tracking method overcomes the problem of spurious false alarms generated by the single frame target detector.
Abstract: Automatic Target Recognition is typically based on single frame image processing. In this paper we report about our work in improving ATR performance by the exploitation of image sequences using a combination of target detection and tracking. The proposed detection/tracking system consists of three subsystems: (1) the target detection module which is based on a combination of multiresolution neural network target filters which are combined by a probabilistic belief network; (2) the sensor motion compensation system which generates a dense velocity field over the actual image frame, thus estimating the effect of the unknown sensor platform motion in image coordinates and (3) a multi-target-tracker which associates existing target tracks with new observations. By the hand of real world examples we show that the combined detection/tracking method overcomes the problem of spurious false alarms generated by the single frame target detector.

Journal Article
TL;DR: This paper addresses a hardware design of low cost, real time (faster than video rate) and suitable for on board applications systolic VLSI circuit, named pPD, for aerial image matching, which is based on software simulation of the pPD.
Abstract: This paper addresses a hardware design of low cost, real time (faster than video rate) and suitable for on board applications systolic VLSI circuit, named pPD, for aerial image matching. Matching operation temporal results from Pentium PC200 (software simulation of the pPD) and estimated with Xilinx XC 6264 (encompassing pPD) working at 50 MHz are provided : the speed up factor is 2000 with frequency equivalent systems.

Journal ArticleDOI
TL;DR: In this paper, the optimal conditions to print the 0.13 μm line and space are presented for newly constructed x-ray proximity lithography system at Postech Advanced Lithography Center (PALC).

Proceedings ArticleDOI
08 Oct 1998
TL;DR: A parallel systolic VLSI circuit which can support efficiently the implementation of a dynamic programming algorithm, a part of two aerial image matching procedure, is proposed.
Abstract: This paper proposes a parallel systolic VLSI circuit which can support efficiently the implementation of a dynamic programming algorithm, a part of two aerial image matching procedure. A dynamic programming algorithm allows to estimate the dense field of local luminosity difference (distance) between images in O(N) steps (N X N being image size). The calculated field is a sampling of the projective transform which links two images. The transform parameter final values are obtained through pyramidal calculations (at different image resolutions) and least square approximations.© (1998) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Journal ArticleDOI
13 Jul 1998
TL;DR: The possibility and usefulness of proximity correction in 100nm-regime X-ray lithography was examined in this paper, where two-dimensional array patterns of around 100 nm linewidth were fabricated by e-beam exposure and subsequent gold electroplating.
Abstract: The possibility and usefulness of proximity correction in 100-nm-regime X-ray lithography was examined. Two-dimensional array patterns of around 100 nm linewidth were fabricated by e-beam exposure and subsequent gold electroplating. The mask patterns consist of normal rectangular patterns and shape-modified patterns which are modified by a simple optical proximity correction (OPC)-like method such as the addition of a simple serif at the end of the pattern. Different serifs sizes and pattern shapes were considered. The delineated images printed by normal rectangular mask pattern and shape-modified mask patterns were compared with a target image to be defined on the wafer, and the faithfulness of printed images was determined by measuring the lengths and widths at all the significant points on two-dimensional patterns. The OPC-like mask modification technique had positive effects in improving the fidelity of the printed image in the linewidth range below 150 nm, especially in the reduction of image shortening in array patterns. The optimum serif size depends on the pattern size, pattern density and the process conditions, especially the gap between the mask and wafer. In addition, two-dimensional aerial image simulations were performed and compared with experimental results and showed the same results as the experiments.

Proceedings ArticleDOI
29 Jun 1998
TL;DR: The LG-OLiS (LG-Optical Lithography Simulator) as discussed by the authors is a 3D optical lithography simulator that can be applied to both the 2D and the 3D non-planar cases.
Abstract: We have developed our own three-dimensional optical lithography simulator, LG-OLiS (LG-Optical Lithography Simulator), which can be applied to both the 2-D and the 3-D non-planar cases. It includes with all processes of optical lithography such as the formation of an aerial image, the exposure, the post-exposure bake (PEB), and the development processes. Several kinds of numerical methods are adopted for numerical implementation and the simulation of an aerial image and the exposure process are based on both the approximate theory and the rigorous theory. Therefore, our LG-OLiS can simulate the topological case efficiently nd exactly.