scispace - formally typeset
Search or ask a question

Showing papers on "Aerial image published in 1999"


Journal Article
TL;DR: In this paper, an approach for the automatic extraction of roads from digital aerial imagery is proposed, where roads are modeled as a network of intersections and links between these intersections, and are found by a grouping process.
Abstract: An approach for the automatic extraction of roads from digital aerial imagery is proposed. It makes use of several versions of the same aerial image with different resolutions. Roads are modeled as a network of intersections and links between these intersections, and are found by a grouping process. The context of roads is hierarchically structured into a global and a local level. The automatic segmentation of the aerial image into different global contexts, i.e., rural, forest, and urban area, is used to focus the extraction to the most promising regions. For the actual extraction of the roads, edges are extracted in the original high resolution image (0.2 to 0.5 m) and lines are extracted in an image of reduced resolution. Using both resolution levels and explicit knowledge about roads, hypotheses for road segments are generated. They are grouped iteratively into larger segments. In addition to the grouping algorithms, knowledge about the local context, e.g., shadows cast by a tree onto a road segment, is used to bridge gaps. To construct the road network, finally intersections are extracted. Examples and results of an evaluation based on manually plotted reference data are given, indicating the potential of the approach.

203 citations


Proceedings ArticleDOI
15 Mar 1999
TL;DR: An image classification algorithm based on a hidden Markov model (HMM) that has transition probabilities conditioned on the states of neighboring blocks from both directions so that the dependency in two dimensions can be reflected simultaneously.
Abstract: Traditional block-based image classification algorithms, such as CART and VQ based classification, ignore the statistical dependency among image blocks. Consequently, these algorithms often suffer from over-localization. In order to benefit from the inter-block dependency, an image classification algorithm based on a hidden Markov model (HMM) is developed. An HMM for image classification, a two dimensional extension from the one dimensional HMM used for speech recognition, has transition probabilities conditioned on the states of neighboring blocks from both directions. Thus, the dependency in two dimensions can be reflected simultaneously. The HMM parameters are estimated by the EM algorithm. A two dimensional version of the Viterbi algorithm is also developed to classify optimally an image based on the trained HMM. An application of the HMM algorithm to document image and aerial image segmentation shows that the algorithm performs better than CART.

98 citations


Patent
05 Nov 1999
TL;DR: In this article, a binocular indirect ophthalmoscope for observing and examining the fundus of the human eye is described, where a light source illuminates a desired portion of a patient's fundus and the radiation reflected in response to the illuminating radiation is brought to focus to produce an aerial image of a fundus, then magnify and image the aerial image along two different optical paths onto imaging sensors, such as charge coupled devices and image cameras, or image tubes such as image intensifiers.
Abstract: The present invention relates to a binocular indirect ophthalmoscope for observing and examining the fundus of the human eye. The ophthalmoscope of the invention integrates an electro-optic imaging system in the viewing optics of the ophthalmoscope. Advantageously, such integration permits the stereoscopic observation of the retina and choroid by employing radiation ranging from the near ultraviolet to the infrared, including the visible spectrum. A light source illuminates a desired portion of a patient's fundus and the radiation reflected in response to the illuminating radiation is brought to focus to produce an aerial image of the fundus. A pair of ophthalmoscope lenses then magnify and image the aerial image along two different optical paths onto imaging sensors, such as charge coupled devices (CCDs) and image cameras, or image tubes such as image intensifiers. Visible displays, such as liquid crystal displays (LCDs), cathode ray tubes (CRTs), or the fluorescent screen of the image tube, then photoelectrically convert the fundus images formed on the imaging sensors and direct corresponding visible images thereof to an observer's pupils by means of ocular lenses.

94 citations


Patent
26 Apr 1999
TL;DR: In this paper, a method of simulating a masking process in which a process simulator is used to produce an aerial image is presented, where the input information includes a digital representation of a patterned mask and a data set.
Abstract: A method of simulating a masking process in which a process simulator is used to produce an aerial image. The simulator is configured to receive input information. The input information includes a digital representation of a patterned mask and a data set. Each element of the data set corresponds to one of a plurality of parameters associated with the masking process. The simulator is configured to produce an aerial image based upon the input information. The aerial image represents the simulator estimation of a pattern that would be produced by the masking process using the patterned mask under conditions specified by the data set. The method further includes the step of supplying the input information to the simulator to produce the aerial image. A first data base is then generated from the aerial image. The first data base is a digital representation of the aerial image. Thereafter, the pattern is produced on a semiconductor substrate using the masking process and the patterned mask. The pattern is produced under the conditions specified by the data set. A second data base is then generated wherein the second data base is a digital representation of the actual pattern. The first data base and the second data base are then compared to produce an error data base. The error data base is indicative of differences between the aerial image and the pattern. Thereafter, the process simulator is modified based upon the error data base to minimize the differences between a successive iteration of the aerial image and the pattern.

79 citations


Patent
19 Jan 1999
TL;DR: In this paper, an aerial image produced by a mask having transmissive portions is simulated by dividing the transmissive parts of the mask into primitive elements, obtaining a response for each of the primitive elements.
Abstract: An aerial image produced by a mask having transmissive portions is simulated by dividing the transmissive portions of the mask into primitive elements, obtaining a response for each of the primitive elements, and then simulating the aerial image by combining the responses over all of the primitive elements.

65 citations


Proceedings ArticleDOI
11 Jun 1999
TL;DR: In this article, the authors used a deep-UV interferometric lithography exposure tool to study the impact of aerial image contrast on resists imaging properties, including positive and negative tone systems, chemically amplified and conventional diazonaphthoquinone imagining chemistries, and aqueous and solvent-developed systems.
Abstract: Interferometric lithography affords the unique ability to independently control dose, pitch and aerial image contrast during photolithographic exposure. In this report, we describe the use of a deep-UV interferometric lithography exposure tool to study the impact of aerial image contrast on resists imaging properties. A wide range of high resolution resists materials was surveyed, including positive- and negative-tone systems, chemically amplified and conventional diazonaphthoquinone imagining chemistries, and aqueous- and solvent-developed systems. In all cases, resist line- edge roughness was observed to increase as aerial image contrast was decreased, though the precise behavior varied with resist material. Polymer molecular weight was systematically varied with resists materia. Polymer molecular weight was systematically varied in a negative- tone chemically amplified resist formulation. The results indicate that molecular weight is a significant factor influencing the magnitude and type of line-edge roughness at low aerial image contrast.

58 citations


Proceedings ArticleDOI
26 Jul 1999
TL;DR: In this paper, the use of empirically trained resist models known as variable threshold resist models was explored for a specific resist process and potentially applied for a wide range of numerical aperture and partial coherence settings.
Abstract: Lithography simulation tools eliminate costly and time consuming experiments allowing new processes to be developed quickly. There are excellent simulation programs that allow sophisticated modeling of the optics in current and future lithography tools. In many instances, the weak point in lithography simulations is the relatively poor capability to model resists. Sophisticated and accurate models have been developed for many technologically important i-line resists. However the models for 248nm chemically amplified resist are not as mature, and there are many resist of interest for which there are no reliable models. Even when they do exist, these full resist models are computationally expensive and not suitable for some applications such as model based optical proximity corrections. When useful models do not exist, lithographers use the aerial imaging portions of the lithography simulation tools and apply the simplest of resist models, the so-called constant threshold model. While this allows the critical dimensions to be approximated for high contrast resist, it fails to capture important aspects of most resist processes. Empirically trained resists models have come to be used where more accurate lithography simulations are required, but full resist models either do not exist or are to slow to be useful. This paper explores the use of a class of empirically trained models known as variable threshold resist models. This type of model stats with an aerial image calculation and uses a function to locally vary the threshold used to predict CDs. This type of model may be quickly trained for a specific resist process and potentially applied for a wide range of numerical aperture and partial coherence settings. We show how multiple dose and focus data can be used to train a model that includes input parameters extracted from the aerial image as well as pattern factors and exposure dose. The data present suggests that models trained with one set of optical conditions are useful at other optical settings. We also explore different approaches to validate the models and demonstrate some consider the effect of across wafer variation on the training data.

45 citations


Proceedings ArticleDOI
30 Dec 1999
TL;DR: In this article, the effect of mask repairs to resist pattern images for the binary mask case is discussed and the simulated resist lines by AIMS and VSS are both compared to SEM images of resist wafers qualitatively and quantitatively using CD verification.
Abstract: As advanced process technologies in the wafer fabs push the patterning processes toward lower k1 factor for sub-wavelength resolution printing, reticles are required to use optical proximity correction (OPC) and phase-shifted mask (PSM) for resolution enhancement. For OPC/PSM mask technology, defect printability is one of the major concerns. Current reticle inspection tools available on the market sometimes are not capable of consistently differentiating between an OPC feature and a true random defect. Due to the process complexity and high cost associated with the making of OPC/PSM reticles, it is important for both mask shops and lithography engineers to understand the impact of different defect types and sizes to the printability. Aerial Image Measurement System (AIMS) has been used in the mask shops for a number of years for reticle applications such as aerial image simulation and transmission measurement of repaired defects. The Virtual Stepper System (VSS) provides an alternative method to do defect printability simulation and analysis using reticle images captured by an optical inspection or review system. In this paper, pre- programmed defects and repairs from a Defect Sensitivity Monitor (DSM) reticle with 200 nm minimum features (at 1x) will be studied for printability. The simulated resist lines by AIMS and VSS are both compared to SEM images of resist wafers qualitatively and quantitatively using CD verification.Process window comparison between unrepaired and repaired defects for both good and bad repair cases will be shown. The effect of mask repairs to resist pattern images for the binary mask case will be discussed. AIMS simulation was done at the International Sematech, Virtual stepper simulation at Zygo and resist wafers were processed at AMD-Submicron Development Center using a DUV lithographic process for 0.18 micrometer Logic process technology.

42 citations


Book ChapterDOI
TL;DR: The work described in this paper demonstrated the value and feasibility of using computer vision techniques to solve environmental monitoring problems on a large scale.
Abstract: This paper describes an ongoing collaborative research program between the Computer Science and the Forestry and Wildlife Management Departments at the University of Massachusetts to develop cost-effective methodologies for monitoring biomass and other environmental parameters over large areas. The data acquisition system consists of a differential GPS system, a 3-axis solid state inertial reference system, a small format (70mm) aerial survey camera, two video cameras, a laser profiling altimeter, and a PC based data recording system. Two aerial survey techniques for determining biomass are discussed. One primarily based on video and the other relying additionally on the 3D terrain models generated from the aerial photographs. In the first technique, transects are flown at 1,000 feet with dual-camera wide angle and zoom video, and a profiling laser operating at 238 Hz. The video coverage is used to identify individual tree species, and the laser profiler is used to estimate tree heights. The second procedure builds on this approach by taking sequences of 70mm photographs with an 80% overlap along a second higher altitude flight line at 4,000 feet. Detailed 3D terrain models are then generated from successive pairs of images. Several state-of-the-are computer vision algorithms are discussed, including the ITL system, which is an interactive ground cover classification system that allows an operator to quickly classify the large areas in a real-time, and Terrest, which is a highly robust 3D terrain modeling system. The work described in this paper is in a preliminary phase and all of the constituent technologies have not been fully integrated, we nevertheless demonstrated the value and feasibility of using computer vision techniques to solve environmental monitoring problems on a large scale.

29 citations


Journal ArticleDOI
TL;DR: The proposed hybrid system is composed of two parts: relative position estimation and absolute position estimation, which shows the effectiveness of the proposed hybrid parameter estimation algorithm.
Abstract: This work presents a hybrid method for navigation parameter estimation using sequential aerial images, where navigation parameters represent the position and velocity information of an aircraft for autonomous navigation. The proposed hybrid system is composed of two parts: relative position estimation and absolute position estimation. Computer simulation with two different sets of real aerial image sequences shows the effectiveness of the proposed hybrid parameter estimation algorithm.

23 citations


Book ChapterDOI
TL;DR: An algorithm is introduced that fuses information from aerial and terrestrial views for the automatic reconstruction of high-resolution building models within built-up areas using the pose information typically associated with aerial surveillance imagery to acquire an initial three-dimensional model of the buildings at the site.
Abstract: We introduce an algorithm that fuses information from aerial and terrestrial views for the automatic reconstruction of high-resolution building models within built-up areas Calibrated aerial photography is commercially available for wide areas of coverage and has been shown to be a useful source of information about the location of buildings at the site, their 2D footprint [8,10], and their rooftop shape [1,6,9] In contrast, terrestrial imagery is usually uncalibrated, not available commercially for most urban areas, and difficult to acquire These ground-level images do, however, provide close-range, high-resolution views not normally available in aerial data Our approach uses the pose information typically associated with aerial surveillance imagery to acquire an initial three-dimensional model of the buildings at the site Uncontrolled, terrestrial imagery is then aligned to the model using a symbolic model matching and pose a refinement technique Once aligned, ground-level views can be used to enhance the site model in a number of ways High-resolution facade textures can be mapped onto the model geometry using the recovered pose information and standard texture mapping algorithms The same algorithms allow explicit segmentation of building facades from terrestrial views as regions of pixels that project to vertical structures in the model Context sensitive processing can be applied to these facade regions for the symbolic extraction of surface structures such as windows, doors, and pillars

Journal ArticleDOI
TL;DR: This paper explores the concept of a variable threshold resist model (VTRM) where the model is trained with data from a specific resist process, and may be applied to lithography simulation for that resist process with a wide variety of optical exposure conditions.

Book ChapterDOI
13 Jan 1999
TL;DR: Interim results from an ongoing project on aerial image reconstruction of a knowledge based vision system, where the selection of IU algorithms and the fusion of information provided by them is combined in an efficient way are presented.
Abstract: This paper presents interim results from an ongoing project on aerial image reconstruction. One important task in image interpretation is the process of understanding and identifying segments of an image. In this effort a knowledge based vision system is being presented, where the selection of IU algorithms and the fusion of information provided by them is combined in an efficient way. In our current work, the knowledge base and control mechanism (reasoning subsystem) are independent of the knowledge sources (visual subsystem). This gives the system the flexibility to add or change knowledge sources with only minor changes in the reasoning subsystem. The reasoning subsystem is implemented using a set of Bayesian networks forming a hierarchical structure which allows an incremental classification of a region given enough time. Experiments with an initial implementation of the system focusing primarily on building reconstruction on three different data sets are presented.

Proceedings ArticleDOI
26 Jul 1999
TL;DR: In this paper, a new algorithm is proposed to enable printability and enhanced defocus budget at half and sub-half wavelength feature sizes, which is performed on the geometric shape of the condenser filter, referred as the illuminator.
Abstract: In this paper we report a new algorithm designed to enable printability and enhanced defocus budget at half and sub- half wavelength feature sizes. An integral part of this algorithm is the optimization of aerial image contrast, performed in stages, for an algorithmically determined set of contrast cost functions. The optimization is performed on the geometric shape of the condenser filter, herein referred to as the illuminator. Combining (1) illuminator optimization, (2) reticle proximity correction, and (3) attenuated phase shift masks allows one to perform corrections to aggressive SRAM mask designs with features sizes as small as 140 nm, when employing 248 nm illumination, as well as 125 nm feature sizes of lines and spaces. We also present optimizations for 80 nm lines, with 120 nm spaces using 193 nm illumination.

Proceedings ArticleDOI
Song Peng1
30 Dec 1999
TL;DR: The biased etchback approach proposed by Ferguson et al. has been shown to be effective in correcting transmission errors as mentioned in this paper, which consists of multiple steps of uniform wet etch coupled with aerial image measurements after each etch step.
Abstract: Image imbalance refers to the phenomenon that printed image sizes are different between etched and unetched openings if the effective phase and transmission of an alternating phase shifting mask (PSM) are not ideal. The root cause of the phenomenon is the presence of a 0-th diffraction order. When the 0-th order interacts with plus or minus 1 orders, depending on location, it constructively or destructively interferes with the ideal sinusoidal fields generated by the plus or minus 1 orders, causing aerial image difference between the etched and unetched openings. Furthermore, the image imbalance affect changes through focus because optical path length of the 0-th order differs from that of the plus or minus order. An analytical solution of the aerial image has been derived to quantitatively understand the phenomenon. It can be shown that the contributions of phase error and transmission error are orthogonal, thus both errors must be eliminated to eliminate the 0-th diffraction order. The biased etchback approach proposed by Ferguson et al. has been shown to be effective in correcting transmission errors. Detailed implementation of the technique are explored in this presentation. The technique consists of multiple steps of uniform wet etch coupled with aerial image measurements after each etch step. After transmission error is corrected, a phase trimming process may be necessary to improve through-focus image balance.

Proceedings ArticleDOI
25 Jun 1999
TL;DR: In this article, a parallel version of TEMPEST with a Fourier transform boundary condition was developed and run on a network of 24 microprocessors to investigate the effects of the off-axis incidence employed in EUV lithography systems.
Abstract: Aerial images for line/space patterns, arrays of posts and an arbitrary layout pattern are calculated for EUV masks in a 4X EUV imaging system. Both mask parameters and illumination parameters are varied to investigate their effects on the aerial image. To facilitate this study, a parallel version of TEMPEST with a Fourier transform boundary condition was developed and run on a network of 24 microprocessors. Line width variations are observed when absorber thickness or sidewall angle changes. As the line/space pattern scales to smaller dimensions, the aspect ratios of the absorber features increase, introducing geometric shadowing and reducing aerial image intensity and contrast. 100nm square posts have circular images of diameter close to 100nm, but decreasing in diameter significantly when the corner round radius at the mask becomes greater than 50 nm. Exterior mask posts image slightly smaller and with higher ellipticity than interior mask posts. The aerial image of the arbitrary test pattern gives insight into the effects of the off-axis incidence employed in EUV lithography systems.

Patent
02 Aug 1999
TL;DR: In this paper, aerial image measurement equipment is used to both determine phase shift of a phase shift alignment region at least in part by capturing a series of aerial images as a function of focus and to determine process alignment in the formation of the phase-shift alignment region.
Abstract: In but one implementation in the fabrication of a phase shift mask, both process alignment in the formation of a phase shift alignment region and degree of phase shift of the phase shift alignment region is determined at least in part by using aerial image measurement equipment. In one implementation, aerial image measurement equipment is used to both determine phase shift of a phase shift alignment region at least in part by capturing a series of aerial images as a function of focus and to determine process alignment in the formation of the phase shift alignment region at least in part by measuring distance between spaced low intensity locations defined by an edge of the phase shift alignment region and an adjacent alignment feature edge. In one implementation, process alignment in the formation of a phase shift alignment region is determined at least in part by using aerial image measurement equipment to determine photoresist patterning alignment prior to etching material to form said phase shift alignment region. In one implementation, aerial image measurement equipment is used to determine photoresist patterning alignment for formation of a phase shift alignment region at least in part by measuring distance between spaced intensity change locations defined by an alignment feature edge beneath the photoresist and an edge of the photoresist.

Patent
17 Feb 1999
TL;DR: In this article, a stationary radar system covering an area of action to be placed under surveillance, such as an airport, seaport or river port, generates radar images, which are superimposed by means of a data processing system.
Abstract: According to the invention a stationary radar system covering an area of action to be placed under surveillance, such as an airport, seaport or river port, generates radar images. Onto each radar image of the area of action under surveillance which is generated by means of the radar system an optical image (aerial image) of the same area of action is superimposed by means of a data processing system. The combination image of the area of action obtained by this superimposition is transmitted to an observation and surveillance platform of a tower and from there to receiving monitors of moving targets in the area of action and represented on same. From the plurality of moving targets of a combination image the current position of, and possibly also a part of the path already travelled by, a moving target can be shown (by afterglow) on its receiving monitor by means of a differential GPS receiving unit which is assigned to said moving target and with which it can connect.

Proceedings ArticleDOI
Jingqin Su1, Jinglei Du1, Jun Yao1, Fuhua Gao1, Yongkang Guo1, Zheng Cui 
10 Mar 1999
TL;DR: In this article, a gray-tone mask has been designed to produce a hemispherical shape relief structure based on the theory of partial coherent light and the resist development model, the intensity distribution through the gray tone mask and exposure of photoresist have been simulated.
Abstract: A new method is proposed to design gray-tone masks for fabrication of surface relief microstructures. Unlike previous methods which modulate the light intensity by changing the cell size or cell pitch only, the method relays on adjusting both the shape and position of a cell which gives an extra freedom to control the design accuracy. Using the new method a gray-tone mask has been designed to produce a hemispherical shape relief structure. Based on the theory of partial coherent light and the resist development model, the intensity distribution through the gray-tone mask and exposure of photoresist have been simulated. Nonlinear effects in aerial image and resist development have been taken into account to correct the mask design. The accuracy of the gray-tone mask design has been confirmed by simulation of 3D resist profiles.

Proceedings ArticleDOI
25 Jun 1999
TL;DR: In this paper, the resolution capabilities of proximity x-ray lithography (PXRL) system were discussed and the exposure characteristics of features designed at 150 nm pitch size: 75 nm dense lines with 1:1 duty ratio, 2D features at 1: 1 and 1:2 duty ratios and isolated lines have been studied.
Abstract: This paper discusses the resolution capabilities of proximity x-ray lithography (PXRL) system. Exposure characteristics of features designed at 150 nm pitch size: 75 nm dense lines with 1:1 duty ratio, 2D features at 1:1 and 1:2 duty ratios and isolated lines have been studied. Aerial image simulations were compared to the experimental data. Verification of the aerial image model has been accomplished by measurements of exposure windows of 100 nm and 125 nm nested lines. The PXRL aerial image parameter, equivalent penumbra blur, has been determined from the experimental data. Contributions from the synchrotron radiation x-ray source, stepper and the chemically amplified resist to the degradation of the aerial image have been evaluated. Patterning capability of PXRL at 75 nm feature size is compared to projection optics using the optical k1 factor as a common figure of merit. To facilitate the comparison, optical imagin was at pattern sizes currently manufacturable by the mainstream optical tools while the PXRL imaging was at 75 nm pattern size. Requirements for a PXRL system of manufacturing VLSI at 70 nm minimum feature sizes with the critical dimension control better than 10 percent are also discussed.

Journal ArticleDOI
TL;DR: Two new schemes for optical proximity correction (OPC) have been proposed, based on the analysis of light intensity distribution, and one of the schemes uses both clear and opaque assistant features for OPC.

Proceedings ArticleDOI
11 Jun 1999
TL;DR: In this paper, a resist material that provides a new way to scale the edge of an aerial image rather than the entire image as a single pattern has been proposed, which is similar to alternating phase shift lithography.
Abstract: Lithographic scaling entails continuously increasing resolution while at the same time improving the tolerance control on the printed images Typically, this has been done by using shorter actinic wavelengths, increasing numerical aperture, compensating reticle patterns and similar methods that serve to enhance the fidelity of the aerial image In some case, this scaling has been achieved by altering the method of image formation, such as with the use of alternating phase shift reticles, in which the width of the aerial image is established by phase interference rather than of a diffraction-limited process of passing light through a dark masking pattern This paper describes development of a resist material that provides a new way to scale lithographic patterns, one similar to alternating phase shift lithography in the sense that it prints the edge of an aerial image rather than the entire image as a single pattern Because the edge of the aerial image is of higher resolution, with smaller components of tolerance than the entire image, this type of resist may provide a new method of scaling

Proceedings ArticleDOI
24 Oct 1999
TL;DR: This work addresses a new perceptual grouping algorithm for aerial images, which employs a decision tree classifier and hierarchical multilevel grouping strategy in a bottom-up fashion and shows that grouping is performed effectively to extract man-made structures in aerial images.
Abstract: We address a new perceptual grouping algorithm for aerial images, which employs a decision tree classifier and hierarchical multilevel grouping strategy in a bottom-up fashion In our approach, grouping is performed perceptually on 3D features extracted from 2D images, in which the gestalt principles including collinearity, parallelism and L-typed convergence are encoded by the decision tree learning technique The decision tree is constructed using training samples obtained from the given 3D reference model Then, each pair of the extracted 3D line features of an input image is classified into one of the learned gestalt primitives On the other hand, in multilevel grouping procedure, grouping of collated features are performed from lower to higher level, yielding the structured target model In order to evaluate the proposed algorithm, experiments are carried out on RADIUS model board images The results show that grouping is performed effectively to extract man-made structures in aerial images

01 Dec 1999
TL;DR: In this paper, the Radon Transform was used for the detection and recognition of buildings in aerial images from the National Aerial Photography Program (NAPP), which provided a marginally adequate resolution for the recognition of small buildings.
Abstract: : Aerial image acquisition systems are producing more data than can be analyzed by human experts. Most of the images produced by remote sensing satellites, including military ones, never get seen or inspected. In this work, automated detection and recognition of buildings in aerial photos is explored. Connectivity analysis is performed on graphs derived from line segment representations of the original images, obtained with the use of the Radon Transform. The model is experimentally validated using 2-meter panchromatic aerial photographs from the National Aerial Photography Program (NAPP), which provide a marginally adequate resolution for the recognition of small buildings.

Journal Article
TL;DR: In this paper, a set of spatio-temporal solid data is first formed from a sufficiently dense sequence of images taken by a camera moving along a straight-line path.
Abstract: To overcome depth discontinuities and occlusion problems in three-dimensional (3D) surface information extraction using traditional stereophotogrammetric matching, a new approach called spatio-temporal analysis of aerial image sequences is proposed. In the proposed method, a set of spatio-temporal solid data is first formed from a sufficiently dense sequence of images taken by a camera moving along a straight-line path. Second, the set of spatio-temporal solid of data is sliced along a temporal dimension into epipolar-plane images (EPIs), and features in these slices are extracted and described. Finally, three-dimensional coordinates in a ground coordinate system are computed for the features in the EPIs. This method is fairly radically different from traditional two-view stereophotogrammetric matching ; therefore, we discuss in detail the estimation accuracy, error resources, and sensitivities to occlusion and depth discontinuities. The experimental results from three test fields in Berlin, Germany show that the method is a useful tool for solving the problems of depth discontinuities and occlusion with which photogrammetrists have been wrestling for a decade.

Proceedings ArticleDOI
20 Sep 1999
TL;DR: The results show that the knowledge base used by the system can be acquired using standard learning techniques and that the value-driven approach to the selection of vision algorithms leads to performance gains.
Abstract: This paper presents a control structure for general purpose image understanding that addresses both the high level of uncertainty in local hypotheses and the computational complexity of image interpretation. The control of vision algorithms is performed by an independent subsystem that uses Bayesian networks and utility theory to compute the marginal value of information provided by alternative operators and selects the ones with the highest value. We have implemented and tested this control structure with several aerial image datasets. The results show that the knowledge base used by the system can be acquired using standard learning techniques and that the value-driven approach to the selection of vision algorithms leads to performance gains. Moreover, the modular system architecture simplifies the addition of both control knowledge and new vision algorithms.

Proceedings ArticleDOI
26 Jul 1999
TL;DR: In this paper, a coherent multiple imaging (CMI) method was proposed to enhance the resolution and depth of focus of an aerial image by using a Fabry-Perot etalon inserted between the mask and the projection lens.
Abstract: A resolution enhancement technique suitable for Deep-UV microlithography based on coherent multiple imaging (CMI) will be described. We showed recently that a Fabry-Perot etalon inserted between the mask and the projection lens in an optical stepper is able to simultaneously enhance the resolution and depth of focus of an aerial image. Since the multiple images of the mask pattern created by the etalon are added together coherently, the final image profile is very sensitive to the initial phase conditions. It is possible to simulate this coherent multiple imaging techniques using a simulation model which either superimposes separate output electric fields or by applying an appropriate transmission-phase pupil plane filter in the simulator. The first approach, however, requires a modification of the simulation software which allows output of the electric field profile, while the second approach can be used with a conventional commercial lithography simulator. In this paper computer simulations for isolated and extended contact hole arrays are used to demonstrate that the CMI method can enhance resolution by 18 percent while maintaining or even increasing the DOF of the aerial image. It is also shown that the high intensity side lobes generated by the filter nc abe eliminated by means of a phase shifting mask or by reducing the spatial coherence of the illumination source. The optimum value of spatial coherence was found to be 0.28. In this case the side lobes disappear, and the intensity of the main peaks doubles. The impact of this technique on image intensity is also discussed.

Book ChapterDOI
01 Jan 1999
TL;DR: Two image matching techniques that owe their success to a combination of geometric and photometric constraints are described and it is shown that these two techniques may be combined and are complementary for the application of image retrieval from an image database.
Abstract: We describe two image matching techniques that owe their success to a combination of geometric and photometric constraints. In the first, images are matched under similarity transformations by using local intensity invariants and semi-local geometric constraints. In the second, 3D curves and lines are matched between images using epipolar geometry and local photometric constraints. Both techniques are illustrated on real images. We show that these two techniques may be combined and are complementary for the application of image retrieval from an image database. Given a query image, local intensity invariants are used to obtain a set of potential candidate matches from the database. This is very efficient as it is implemented as an indexing algorithm. Curve matching is then used to obtain a more significant ranking score. It is shown that for correctly retrieved images many curves are matched, whilst incorrect candidates obtain very low ranking.

Proceedings ArticleDOI
25 Aug 1999
TL;DR: In this paper, a new version of PROPHET can show a cross sectional display of two dimensional light intensity on any user specified line segment with the aid of layout editor.
Abstract: Several new features have been added to the aerial image simulation function of PROPHET due to process engineers' request for more precise evaluation of simulation results. In the previous version, only contour lines of light intensity were shown in the layout editor window. This new version of PROPHET can show a cross sectional display of two dimensional light intensity on any user specified line segment with the aid of layout editor. Line width measurement, light intensity measurement and multiple exposure simulation can be done by utilizing the two dimensional light intensity data file previously used only to draw contour lines. The optimal coherent approximation (OCA) reduces simulation time to 1/50 in high sigma region. A Fickian diffusion of the light intensity profile and a simple resist processing model gives more precise simulation results.

Patent
05 Nov 1999
TL;DR: In this article, the length of the optical fiber having lens action is set as the odd-number multiple of the half cycle of an optical path in the optical fibre. But the optical fibers have different refractive indices at both ends of the fiber.
Abstract: PROBLEM TO BE SOLVED: To display a stereoscopic image on the front of an optical fiber as an aerial image by setting the length of the optical fiber having lens action as the odd-number multiple of the half cycle of an optical path in the optical fiber. SOLUTION: The optical fiber 10 whose refractive index is higher at its center part in a radial direction and gets smaller toward its peripheral part has the lens action. Plural optical fibers 10 are constituted to have the same two-dimensional arrangement at both ends. The length of the optical fiber 10 is set as the odd-number multiple of the half cycle of the optical path in the optical fiber 10. Light beams emitted from the centers of the end faces of the respective optical fibers 10 are crossed at a position at a distance d1 which is the same as a distance from an incident end to an object 11 on the outside of the optical fiber 10, that is, in the advancing direction of light, and a reproduced image 12 is obtained at this crossing point P. Irregularities are inverted in the reproduced image 12, and this problem is solved by using even sets of optical fiber groups at some intervals in series.