scispace - formally typeset
Search or ask a question

Showing papers on "Aerial image published in 2002"


Journal ArticleDOI
TL;DR: An integrated system for navigation parameter estimation using sequential aerial images, where the navigation parameters represent the positional and velocity information of an aircraft for autonomous navigation is presented.
Abstract: Presents an integrated system for navigation parameter estimation using sequential aerial images, where the navigation parameters represent the positional and velocity information of an aircraft for autonomous navigation. The proposed integrated system is composed of two parts: relative position estimation and absolute position estimation. Relative position estimation recursively computes the current position of an aircraft by accumulating relative displacement estimates extracted from two successive aerial images. Simple accumulation of parameter values reduces the reliability of the extracted parameter estimates as an aircraft goes on navigating, resulting in a large positional error. Therefore, absolute position estimation is required to compensate for the positional error generated by the relative position estimation. Absolute position estimation algorithms using image matching and digital elevation model (DEM) matching are presented. In the image matching, a robust-oriented Hausdorff measure (ROHM) is employed, whereas in the DEM matching, an algorithm using multiple image pairs is used. Experiments with four real aerial image sequences show the effectiveness of the proposed integrated position estimation algorithm.

207 citations


Journal ArticleDOI
TL;DR: An end-to-end analysis of a simple model-based vehicle detection algorithm for aerial parking lot images by combining four elongated edge operators designed to collect edge responses from the sides of a vehicle is performed.

131 citations


Proceedings Article
01 Jan 2002
TL;DR: The development of simulation programs which help to determine the effectiveness of resolution enhancement techniques in achieving a given resolution at a specified wavelength are described.
Abstract: Optical lithography has been the key enabling technology for scaling down dimensions of devices on VLSI chips. While electron beam and X ray lithography techniques promise higher resolution, optical lithography remains the most economical technique for defining fine patterns on a chip. The critical dimensions in current VLSIs are typically smaller than the wavelength of commonly available optical sources. Therefore, special techniques are required to achieve such high resolution. Commonly used resolution enhancement techniques are: optical proximity correction, phase shift masking and off-axis illumination. Often, a combination of these techniques can be used to good effect. In this paper, we describe the development of simulation programs which help us determine the effectiveness of these techniques in achieving a given resolution at a specified wavelength. An aerial image simulator permits us to quantify the quality of the mask image formed using resolution enhancement techniques. A resist development simulator then determines how successfully the image can be transferred to the photoresist on wafer.

111 citations


Journal ArticleDOI
TL;DR: In this paper, it was shown that the redeposition of partially dissolved resist during drying after development leads to feature degradation, which is likely the limiting factor in the practical resolution of final features from proximity UV printing.

77 citations


Journal ArticleDOI
TL;DR: In this paper, a single surface approximation (SSA) was proposed to calculate the extreme ultraviolet (EUV) scattering from a defect within a multilayer coating, where the defective multi-layer structure was replaced by a single reflecting surface with the shape of the top surface of the multilayers.
Abstract: An approximate method is proposed to calculate the extreme ultraviolet (EUV) scattering from a defect within a multilayer coating. In this single surface approximation (SSA) the defective multilayer structure is replaced by a single reflecting surface with the shape of the top surface of the multilayer. The range of validity of this approximation has been investigated for Gaussian line defects using two-dimensional finite-difference-time-domain simulations. The SSA is found to be valid for sufficiently low aspect ratio defects such as those expected for the critical defects nucleated by particles on the mask substrate. The critical EUVL defect size is calculated by combining the SSA with a multilayer growth model and aerial image simulations. Another approximate method for calculating the aerial image of an unresolved defect is also discussed. Although the critical substrate defects may be larger than the resolution of higher numerical aperture cameras, the point defect approximation provides a useful fra...

76 citations


Journal ArticleDOI
TL;DR: In this article, a grid sampling technique was used to determine the cover of vegetation understorey and overstorey from aerial photography at 1:25 000 and 1:40 000 scales.
Abstract: Cover of vegetation understorey and overstorey was determined from aerial photography at 1:25 000 and 1:40 000 scales by a grid sampling technique. Models were developed relating values of aerial cover to field cover as determined by intensive field measurement. The influence of photo-scale, photo colour, the angle of the image, shadow, the hiatus between aerial and field sampling, crown width, crown height, proportion of dead trees, drought prior to aerial sampling, land type, previously cleared vegetation and incline on explanatory models was also examined. The only variables that could be clearly interpreted as influencing the models were vegetation height, photo-scale and land type. Only the latter two variables are useful for predictive models. The smaller the scale of photography the greater the exaggeration of the aerial image of tree crowns. This probable result of photo graininess would be most significant when tree crowns are small, an inverse surrogate of tree height. Two-phase models were developed for predicting basal area and biomass from aerial cover. In most instances models were successful for predicting overstorey and understorey cover and for predicting total basal area and biomass. The technique offers a powerful and cost-effective method of assessing vegetation change over long time periods in a way that no other technique can duplicate.

70 citations


Proceedings ArticleDOI
15 Jul 2002
TL;DR: An accuracy of VT family models under a wide range of conditions is reported, practical issues needed for VT usage in OPC modeling are described, and a novel method for calculating VTRE wafer predictions on a dense image intensity grid is introduced.
Abstract: In previous work, Cobb and Zakhor (SPIE, 2726, pp208-222, 1996) introduced the VTR (Variable Threshold Resist) model and demonstrated its accuracy for fitting empirical data for 365 nm illumination (SPIE, 3051, pp 458-468, 1997) The original work showed how EPE can be modeled as a function of a peak local image intensity and the slope of the adjacent cutline Since then, authors such as J Randall, et al, (Microel Engineering, 46, pp 59-63, 1999) have analyzed the VTR model including other parameters such as dose In the current approach, the original VTR has been enhanced to the VTR-Enhanced (or VTRE) in 1999, and VT-5 models in 2002, for production in OPC applications, which include other image intensity parameters Here we present a comprehensive report on VT (Variable Threshold) process modeling It has the demonstrated ability to accurately capture resist and etching responses, alone or in the combination with experimental VEB (Variable Etch Bias, SPIE, 4346, p 98, 2001) model, for a wide range of process conditions used in the contemporary IC manufacturing We analyzed 14 different semiconductor company processes experimental setups totaling 3000 CD measurements to prove this point We considered 248, 193, and 157 nm annular and standard illumination sources for poly, metal, and active layers We report an accuracy of VT family models under a wide range of conditions, show usage methodology, and introduce a novel method for calculating VTRE wafer predictions on a dense image intensity grid We use multiple regression method to fit VT models and discuss methods for calculating regression coefficients It is shown that models with too many eigenvectors exhibit a tendency to overfit CD curves Sub-sample cross-validation and overfitting criteria are derived to avoid this problem The section on test pattern and usage methodology describes practical issues needed for VT usage in OPC modeling Particularly we discuss the effects of metrology errors on modeling Also we introduce criteria for the important issue of model stability and propose refined test pattern structures designed to uniformly cover the VT parameter ranges It is often required that the model has to 'hit' some CD measurements exactly We introduce the 'bubble' technique to accomplish this A 'bubble' constitutes an additional term in the VT functional form; it explains single CD measurement We demonstrate how 'bubbles' help fit the pitch uniformity and iso-line linearity curves exactly Lastly, the section on dense region calculation demonstrates how this TCAD-oriented technique can be used for tuning OPC algorithms In its original form, VTR can easily be applied to sparse imaging at sample sites for OPC/ORC applications It is useful to be able to calculate the VT wafer prediction for a fully dense grid of values, and plot the results like an aerial image contour plot

64 citations


Proceedings ArticleDOI
24 Dec 2002
TL;DR: In this paper, an EUV Aerial Image Microscope (AIM) similar to the current AIM tools for 248nm and 193nm exposure wavelength is proposed for patterned EUV mask inspection.
Abstract: The high volume inspection equipment currently available to support development of EUV blanks is non-actinic. The same is anticipated for patterned EUV mask inspection. Once potential defects are identified and located by such non-actinic inspection techniques, it is essential to have instrumentation to perform detailed characterization, and if repairs are performed, re-evaluation. The ultimate metric for the acceptance or rejection of a mask due to a defect, is the wafer level impact. Thus, measuring the aerial image for the site under question is required. An EUV Aerial Image Microscope (“AIM”) similar to the current AIM tools for 248nm and 193nm exposure wavelength is the natural solution for this task. Due to the complicated manufacturing process of EUV blanks, AIM measurements might also be beneficial to accurately assessing the severity of a blank defect. This is an additional application for an EUV AIM as compared to today’s use. In recognition of the critical role of an EUV AIM for the successful implementation of EUV blank and mask supply, International SEMATECH initiated this design study with the purpose to define the technical requirements for accurately simulating EUV scanner performance, demonstrating the feasibility to meet these requirements and to explore various technical approaches to building an EUV AIM tool.

48 citations


Proceedings ArticleDOI
13 May 2002
TL;DR: A new algorithm is proposed to estimate the parameters of the noise related to the sensor and the impulse response of the optical system, from a blurred and noisy satellite or aerial image.
Abstract: In this paper we propose a new algorithm to estimate the parameters of the noise related to the sensor and the impulse response of the optical system, from a blurred and noisy satellite or aerial image. The noise is supposed to be white, Gaussian and stationary. The blurring kernel has a parametric form and is modeled in such a way as to take into account the physics of the system (the atmosphere, the optics and the sensor). The observed scene is described by a fractal model, taking into account the scale invariance properties of natural images. The estimation is performed automatically by maximizing a marginalized likelihood, which is achieved by a deterministic algorithm whose complexity is limited to O (N), where N is the number of pixels.

47 citations


Journal ArticleDOI
TL;DR: This paper describes a method that utilizes airborne laser elevation images and aerial images for the 3-D reconstruction of urban objects and applies the voting technique based on the generalized Hough transform to minimize mismatching.
Abstract: Creating three-dimensional (3-D) models of real urban objects is an important goal in a wide variety of applications. This paper describes a method that utilizes airborne laser elevation images and aerial images for the 3-D reconstruction of urban objects. Our modeling approach uses the vertical geometric pattern analysis of elevation images. These patterns correspond to object contours and, thus, enable the extraction of the object. In addition, to provide realistic textured details, textures are cut from aerial images and mapped onto 3-D models. Our texture-mapping approach can avoid geometry mismatching and enable the automatic registration to determine the most reliable correspondence between projected outlines of 3-D models and contours of real objects shown in aerial images. Edge pairs, which are matched with projected outlines, are detected from aerial images. In order to minimize mismatching, we apply the voting technique based on the generalized Hough transform. Experimental results show that 3-D reconstruction of urban objects is generally successful.

47 citations


Patent
09 Oct 2002
TL;DR: In this article, a phase shifting mask (PSM) layout can be corrected using a near-field image, which can provide accurate and quick correction for image intensity imbalance between shifters of different phases.
Abstract: Image intensity imbalance created by a phase shifting mask (PSM) layout can be corrected using a near-field image. Because an aerial image is not used, various parameters associated with the exposure conditions and stepper need not be considered, thereby significantly simplifying the computations to determine the appropriate correction. Of importance, using the near-field image can provide substantially the same correction generated using the aerial image. Thus, using the near-field image can provide an accurate and quick correction for image intensity imbalance between shifters of different phases. After correcting for the image intensity imbalance, additional proximity correction techniques can be applied to the layout to correct for other effects.

Proceedings ArticleDOI
30 Jul 2002
TL;DR: It is shown that simple Gaussian noise convolution models can be predictive with the same accuracy as simple resist models, and a reliable expression for Bossung curves fitting is proposed.
Abstract: Resist modeling is an attractive way to predict the critical dimensions of patterned features after lithographic processing. Unfortunately, previous works have shown that model parameters are very difficult to determine and have often a poor range of validity outside the dataset that have been used to generate them. The goal of this work is to assess different simplified resist models using a systematic method. We have studied the accuracy of aerial image model and aerial image plus Gaussian noise convolution model. The approach is based on the comparison between simulated and experimental data for periodic lines of various dimensions at various illumination conditions. We also propose a reliable expression for Bossung curves fitting. Using simple physical considerations, the expression has been made very simple and efficient. After a proper setting of the model parameters to the experimental data, mean CD discrepancies between simulation and experiment are as small as 5% and can be 3% for certain feature types. Moreover, we show that simple Gaussian noise convolution models can be predictive with the same accuracy. The method for CD prediction is fully described in this paper. Significant improvements have been made in resists modeling over the last several years, but simplified resist models such as 'aerial image + Gaussian noise' seems to be an effective tool for CD prediction, which remains the major demand of IC manufacturers.

Proceedings ArticleDOI
24 Dec 2002
TL;DR: A systematic method for the model-based optical proximity correction in presented in this article, which is called optical proximity effect reducing algorithm (OPERA) and has been implemented to TOPO, an in-house program for optical lithography simulations.
Abstract: A systematic method for the model-based optical proximity correction in presented. This is called optical proximity effect reducing algorithm (OPERA) and has been implemented to TOPO, an in-house program for optical lithography simulations. Comparing simulational results as well as experimental results, we found that OPERA is not only suitable for shape restoration but also for resolution enhancement. However, the resulting optimized patterns have a high degree of complexity and this brought up a number of issues for mask manufacturing. First, data volume and exposure time were dramatically increased for conventional e-beam file formats. This was solved by using the MODE6 format that preserves data hierarchy. Second, due to excessive shot divisions, a variable-shaped beam machine could not finish the exposure process. A raster-scan beam machine successfully finished the exposure. Finally, a die-to-die inspection was performed but many false defects that do not affect wafer printing were defected. This will be solved by a new type of tool that inspects a mask by evaluating its aerial image.

Patent
31 Oct 2002
TL;DR: In this paper, a lens system for use with a scanning laser ophthalmoscope to produce a wide field of view includes a first lens set and a second lens set.
Abstract: A lens system for use with a scanning laser ophthalmoscope to produce a wide field of view includes a first lens set and a second lens set. The first lens set provides an aerial image of the fundus of an eye along an aerial image plane anterior to the first lens set. A second lens focuses laser light from a scanning laser ophthalmoscope on the aerial image, which is then refocused by the first lens set on the fundus. The second lens set also receives and provides reflected light focused at the aerial image and redirects it to the entrance pupil of the ophthalmoscope in a substantially collimated form.

Journal ArticleDOI
TL;DR: The localization algorithm that estimates translation parameters of an aircraft by comparing the sampled elevation map recovered from aerial sequence images, and the digital elevation model (DEM) with the given orientation and altitude parameters obtained from a gyroscope is proposed.
Abstract: This paper proposes the localization algorithm that estimates translation parameters of an aircraft by comparing the sampled elevation map recovered from aerial sequence images, and the digital elevation model (DEM) with the given orientation and altitude parameters obtained from a gyroscope. It consists of two stages: recovering the sampled elevation map from multiple aerial image pairs and matching of the relative recovered elevation map (REM) with the relative DEM. Computer simulations with three real aerial image sequences show the effectiveness of the proposed algorithm.

Proceedings ArticleDOI
01 Jul 2002
TL;DR: In this paper, an Att-PSM for Extreme Ultraviolet Lithography (EUVL) is compared to binary EUVL masks through simulation and a new structural design is presented to enhance the image contrast during the inspection.
Abstract: Attenuated Phase Shift Masks (Att-PSM) have been actively investigated and developed for conventional optical lithography to enhance the lithographic performance. In this paper, Att-PSM for Extreme Ultraviolet Lithography (EUVL) is compared to binary EUVL masks through simulation. Additionally, a new structural design for EUVL Att-PSM that is intended to enhance the image contrast during the inspection is also presented. Aerial image simulation for 50 and 35-nm wide contact holes was performed using an internally developed optical projection lithography simulator. Analysis of phase shift and attenuation for various combinations of Att-PSM layers was also done using an internally developed simulator based on optical multilayer thin film theory. The results of aerial image simulation agree with previously published results in that Att-PSM for EUVL provide steeper edge profile and higher peak intensity compared to the binary EUVL mask. These enhanced aerial images provide greater exposure latitude and 28 percent to 80 percent greater depth of focus for Att-PSM compared to binary masks for printing contacts. The simulations were also used to set initial targets for phase and reflectance control of the PSM stack for 35-nm contacts. Mean reflectance between 3 and 6 percent and phase of 180 +/- 10 degrees result in significantly larger DOF than for binary masks. The prototype structure simulated for an EUVL Att-PSM consists of an upper dielectric layer (SiON) and a lower metal layer (TaN or Cr) on top of Mo/Si multilayer mirror. With this dual layer scheme, satisfying the optical requirements is easier than with a single layer structure because accurate control of phase shift and attenuation is possible by controlling the thickness of both the upper and lower layers. Obtaining the desired phase shift and transmission using a single layer is difficult. The advantage of having a dielectric (SiON) top layer is that the thickness of dielectric layer can be optimized to enhance the image contrast at inspection wavelength (normally DUV) as well as to provide the desired phase shift at exposure wavelength (13.4-13.5nm). Another advantage of Att-PSM for EUVL is the reduced height of patterned structure on the multilayer mirror which provides a relative advantage in resolution by reducing the image blur caused by the shadowing effects of the taller absorber stack.

Proceedings ArticleDOI
15 Jul 2002
TL;DR: A modified Lumped Parameter Model applicable to 3D resist simulations of both positive and negative tone resists is presented and several new LPM parameters are introduced that further improve accuracy.
Abstract: Simplified resist models are desired for fast simulation of resist profiles over large mask areas. The Lumped Parameter Model was originally developed as one such model. However, the LPM model has been limited to 2D resist simulations of 1D aerial image slices with positive tone resists. In this paper we present a modified Lumped Parameter Model applicable to 3D resist simulations of both positive and negative tone resists. In addition several new LPM parameters are introduced that further improve accuracy. The derivation of the 3D LPM model, rationale for including the new parameters, and simulation results using the new model are given.

Patent
05 Sep 2002
TL;DR: In this article, an improved process simulation system for simulating results of fabrication process for a semiconductor device design is disclosed, where the process simulator receives processing parameters and mask data for at least two masks as input, and simulates results of the fabrication process such that an aerial image is generated for each layer of the device that was simulated.
Abstract: An improved process simulation system for simulating results of fabrication process for a semiconductor device design is disclosed. According to the method and system disclosed herein, the process simulator receives processing parameters and mask data for at least two masks as input, and simulates results of the fabrication process such that an aerial image is generated for each layer of the device that was simulated. After generating the aerial images, the process simulator superimposes the aerial images to create a composite image. An operator is then allowed to misalign at least one of the images in relation to the other images based on one or more offset values. The composite image showing the misalignment is then displayed, allowing the operator to view nominal process capability as well as process fluctuations prior to fabrication of the semiconductor device.

01 Jan 2002
TL;DR: In this article, the Normalized Image Log-Slope (NILS) is used to measure the information content of the aerial image and represents an energy (intensity) gradient at the position of the nominal line edge.
Abstract: This is the final column in the series focused on the use of the Normalized Image Log-Slope (NILS) as a metric of image quality. The NILS is a measure of the information content of the aerial image and represents an energy (intensity) gradient at the position of the nominal line edge. Larger NILS means more information as to the proper position of the feature edge. The information from the aerial image then propagates through exposure and post-exposure bake into a gradient of the latent image. Development translates the latent image gradient into a gradient of dissolution rate with the photoresist contrast, γ, converting the NILS into a normalized dissolution rate gradient. But there is one step missing. How does this variation in development rate across the line edge boundary turn into the final resist profile? How does NILS relate to final critical dimension (CD) control (and in particular, exposure latitude)?

Patent
22 May 2002
TL;DR: In this article, a method of measuring the quality of a simulated aerial image includes receiving as input a mask pattern for a chip design, simulating an aerial image of the mask pattern, calculating an error area representative of a deviation between an ideal boundary of the chip design and a boundary of simulated aerial images, calculating maximum and average end-of-line deviations between the ideal boundary, and displaying a worst quality area in the simulated UAV image as a function of the error area and the maximum or average end of line deviations for visual inspection.
Abstract: A method of measuring the quality of a simulated aerial image includes receiving as input a mask pattern for a chip design, simulating an aerial image of the mask pattern, calculating an error area representative of a deviation between an ideal boundary of the chip design and a boundary of the simulated aerial image, calculating maximum and average end-of-line deviations between the ideal boundary of the chip design and the boundary of the simulated aerial image, and displaying a worst quality area in the simulated aerial image as a function of the error area and the maximum and average end-of-line deviations for visual inspection.

Patent
20 Sep 2002
TL;DR: In this paper, a method and system for detecting defects in a physical mask used for fabricating a semiconductor device having multiple layers is disclosed, where each layer has a corresponding mask.
Abstract: A method and system for detecting defects in a physical mask used for fabricating a semiconductor device having multiple layers is disclosed, where each layer has a corresponding mask. The method and system include receiving a digital image of the mask, and automatically detecting edges of the mask in the image using pattern recognition. The detected edges, which are stored in a standard format, are imported along with processing parameters into a process simulator that generates an estimated aerial image of the silicon layout that would be produced by a scanner using the mask and the parameters. The estimated aerial image is then compared to an intended aerial image of the same layer, and any differences found that are greater than predefined tolerances are determined to horizontal defects. In addition, effects that the horizontal defects may have on adjacent layers are analyzed to discover vertical defects.

Journal ArticleDOI
TL;DR: In this article, the authors compared spectral variables extracted from SPOT satellite imagery and digital aerial imagery, and investigated the relationship between spectral variables of the SPOT imagery and the measurement data of a hand-held chlorophyll meter (or SPAD meter).
Abstract: In–season site–specific nitrogen application has the potential to improve the efficiency of nitrogen use and reduce environmental contamination. Identification of within–field crop nitrogen stress is essential for improved nitrogen management. Corn nitrogen stress is frequently associated with leaf chlorophyll content, which can be characterized by spectral reflectance measurements. Therefore, satellite remote sensing has potential for characterizing corn nitrogen deficiency on a whole–field scale. To evaluate the use of satellite imagery to detect nitrogen deficiency in corn during the growing season, this study compared spectral variables extracted from SPOT satellite imagery and digital aerial imagery, and investigated the relationship between spectral variables of the SPOT imagery and the measurement data of a hand–held chlorophyll meter (or SPAD meter). SPOT images and aerial images were acquired on one commercial field in 1999 and another one in 2000. The correlation coefficient (r) between the NDVIs derived from the SPOT image and the aerial image was 0.73 in 1999, and 0.54 in 2000, respectively. When the field had large spatial variability in crop development in 1999, the spectral variables from the SPOT image were strongly correlated with those from the aerial image. However, when the crop development was more uniform in 2000, the correlation between the SPOT image and the aerial image was not as good. The limited range of available digital counts that can be used to represent the reflectance from an individual farm field for the SPOT system limited its sensitivity in detecting crop stress. Nevertheless, the NDVIs from SPOT images were significantly correlated with SPAD data in both fields, with correlation coefficients of 0.90 in 1999 and 0.68 in 2000. The correlation between SPOT images and SPAD data was similar to that between aerial images and SPAD data, indicating that SPOT imagery may have potential for detecting chlorophyll levels and nitrogen stress in corn during the growing season.

Proceedings ArticleDOI
01 Jul 2002
TL;DR: In this article, a set of projection optics and a 500W drive laser laser-produced-plasma (LPP) source were used to obtain static and scanned images of 100 nm dense features.
Abstract: Static and scanned images of 100 nm dense features were successfully obtained with a developmental set of projection optics and a 500W drive laser laser-produced-plasma (LPP) source in the Engineering Test Stand (ETS). The ETS, configured with POB1, has been used to understand system performance and acquire lithographic learning which will be used in the development of EUV high volume manufacturing tools. The printed static images for dense features below 100 nm with the improved LPP source are comparable to those obtained with the low power LPP source, while the exposure time was decreased by more than 30x. Image quality comparisons between the static and scanned images with the improved LPP source are also presented. Lithographic evaluation of the ETS includes flare and contrast measurements. By using a resist clearing method, the flare and aerial image contrast of POB1 have been measured, and the results have been compared to analytical calculations and computer simulations.

Journal ArticleDOI
10 Dec 2002
TL;DR: The system architecture of a current deep UV scanning system is described in detail along with important print strategies, such as grayscale printing and multipass error averaging, and several subsystem technologies are explored with emphasis on the application to short wavelengths and multiple beams.
Abstract: The use of laser scanning to generate semiconductor masks is reviewed. Following a brief historical introduction that describes early pattern generator implementations, current and future industry mask requirements are described with the consequences for pattern generator design: the need for small features, tight CD control, and high pixel delivery rates. The system architecture of a current deep UV scanning system is described in detail along with important print strategies, such as grayscale printing and multipass error averaging. Several subsystem technologies are then explored with emphasis on the application to short wavelengths and multiple beams. Today, frequency-doubled lasers generate the 257-nm radiation used by DUV pattern generators; tomorrow, sum frequency generation will be required to reach the wavelengths at or below 200 nm. Acousto-optic modulation (AOM) technology is shown to scale favorably with shorter wavelengths and to have the bandwidth capability for future system. Acousto-optic beam deflection, polygonal mirror beam deflection, and the reduction of scan bow error through the use of an f /spl middot/ sin(/spl theta/) lens are examined. A section on scan optics and image formation presents the differences between partially coherent imaging as used by a wafer stepper and the incoherent superposition of Gaussian beams as used by a laser scanner. Partially coherent imaging is shown to have a sharper image slope but worse feature size linearity. This section also discusses the effect of finite AOM turn-on time on the aerial image in the scan direction.

01 Jan 2002
TL;DR: In this article, an approach to tackle the problem of 3D building modeling from urban high-resolution aerial image is presented, where automatic 2D building detection technique and 3D height extraction technique has been applied to the test image (stereopair) completely.
Abstract: Urban 3D building modeling is one of key technology of virtual city. In this paper, an approach to tackle the problem of 3D building modeling from urban high-resolution aerial image is presented. Automatic 2D building detection technique and 3D height extraction technique has been applied to the test image (stereopair) completely. Then combination between them is carried out, using the result of pyramidal stereo matching and the linear elements from 2D detection, the matching information from the matched point is assigned to the point of the linear element which corresponds to the matched point, so 3D modeling of building is achieved. In addition, more accurate 3D height information is obtained by applying new control strategies to prevent blunder propagation in pyramidal matching which based on the modified Adaptive Least Square Correlation algorithm

Proceedings ArticleDOI
10 Dec 2002
TL;DR: This paper studies the application of models from stochastic geometry to the problem of image segmentation by defining an algorithm for their simulation which includes birth or death and geometric transformations of an object in the current configuration.
Abstract: In this paper, we study the application of models from stochastic geometry to the problem of image segmentation The input is a grey-scale image and the desired output is a collection of geometric objects Here, these objects are equilateral triangles The considered priors are pairwise interaction point processes used in stochastic geometry They are chosen so that their realisations are close to partitions of the input image We define an algorithm for their simulation which includes birth or death and geometric transformations of an object in the current configuration Posterior mode solutions are studied by coupling this algorithm with simulated annealing This approach includes post-processing to merge objects of similar radiometry

Patent
14 Nov 2002
TL;DR: In this paper, an inspection system is configured to inspect a projection unit having multiple optical subsystems, which are configured to project an image during a lithography step and provide self calibration by measuring both a test mask and the aerial image of the test mask with the same detector assembly.
Abstract: An inspection system and method are disclosed. The inspection system is configured to inspect a projection unit having multiple optical subsystems. The optical subsystems are configured to project an image during a lithography step. The inspection system provides self calibration by measuring both a test mask and the aerial image of the test mask with the same detector assembly. The inspection system is also capable of measuring multiple fields simultaneously using multiple detectors and 6 axis interferometry to accurately determine the position of each detector. Additionally, the inspection system is capable of measuring the distance between the test mask and the detector assembly with an indirect path around the projection unit which normally blocks the direct path.

Proceedings ArticleDOI
Chris A. Mack1
11 Mar 2002
TL;DR: Using simple simulations of aerial image formation as the summation of Gaussian spots, several important conclusions about the use of graybeam are made.
Abstract: In both optical and electron beam raster scan imaging for mask making or direct write on wafer, graybeam techniques are used to create a small virtual address grid while maintaining a large physical address grid. Using simple simulations of aerial image formation as the summation of Gaussian spots, several important conclusions about the use of graybeam are made. Graybeam results in a non-linear variation in edge position with gray level, with the non- linearity increasing with larger physical address grid size. While this edge position deviation form non-linearity can be calibrated out of the writing tool, the calibration curve is process dependent. One problem with the use of graybeam is the reduction of image quality as expressed by the image log-slope. For the case of a physical address grid equal to half of the spot size, the worst case graybeam level has an image log-slope at the edge that is 20 percent less than the best case.

Journal ArticleDOI
TL;DR: In this paper, the authors describe aerial-image contrast characterization for the extreme ultraviolet (EUV) Engineering Test Stand prototype EUV stepper using the resist-clearing (Kirk) method and compared to simulations based on the known system parameters.
Abstract: An important metric in determining both system and optic performance is aerial-image contrast. Here, we describe aerial-image contrast characterization for the extreme ultraviolet (EUV) Engineering Test Stand prototype EUV stepper. The system has been characterized operating with a developmental projection optic (the set-1 optic). Characterization was performed using the resist-clearing (Kirk) method and the results were compared to simulations based on the known system parameters. In this method, the image contrast is determined from the dose required to clear the bright features and the dose required to clear the dark features in a pattern of dense lines and spaces. Comparisons to simulations have shown discrepancies that increase as the contrast dose is reduced. Although various potential sources exist for these discrepancies (as described herein), analysis points to finite resist resolution as being the primary cause. An empirical model that accounts for finite resist resolution in aerial-image contrast measurements is presented.

Proceedings ArticleDOI
10 Dec 2002
TL;DR: A new deconvolution method, able to deal with noninvertible blurring functions, and using a spatially adaptive prior defined with a complex wavelet transform in order to preserve shift invariance and to better restore variously oriented features.
Abstract: In this paper we present a new deconvolution method, able to deal with noninvertible blurring functions. To avoid noise amplification, a prior model of the image to be reconstructed is used within a Bayesian framework. We use a spatially adaptive prior defined with a complex wavelet transform in order to preserve shift invariance and to better restore variously oriented features. The unknown image is estimated by an EM technique, whose E step is a Landweber update iteration, and the M step consists of denoising the image, which is achieved by wavelet coefficient thresholding. The new algorithm has been applied to high resolution satellite and aerial data, showing better performance than existing techniques when the blurring process is not invertible, like motion blur for instance.