scispace - formally typeset
Search or ask a question

Showing papers on "Aerial image published in 2003"


Patent
06 May 2003
TL;DR: In this paper, a three-dimensional neighborhood modeling system that uses aerial image data (601), Digital Elevation Models (612), U.S. street map data (505) and address data to automatically map the placement of nodes within a neighborhood or other geographical area is presented.
Abstract: The present invention uses data from several sources to determine lines-of-sight between nodes in a FSO network (106A-E). The present invention provides a three-dimensional neighborhood modeling system that uses aerial image data (601), Digital Elevation Models (612), U.S. street map data (505) and address data to automatically map the placement of nodes within a neighborhood or other geographical area. Method for creating the 3-Dimensional, House (402) and Tree (401) Maps used in line-of-sight processing are also disclosed.

175 citations


Journal ArticleDOI
TL;DR: In this article, a least squares solution for RF parameter generation and geopositioning accuracy of Ikonos Geo stereo images was proposed and evaluated using simulated DEM data, ground control points by either refining the vendor-provided scene, and an aerial image.
Abstract: achieve a higher accuracy and to be effective for implementaRational functions (RFs) have been applied in photogrammetry tion. Madani (1999) discussed advantages and disadvantages of and remote sensing to represent the transformation between RFs compared with rigorous sensor models. He tested the accuthe image space and object space whenever the rigorous model racy of the RF solution using 12 SPOT Level 1A scenes of the is made unavailable intentionally or unintentionally. It at- Winchester area in Virginia. Using two stereo image pairs with tracts more attention now because Ikonos high-resolution 50 ground (control/pass) points, the RMS error of the planimetimages are being released to users with only RF coefficients. ric coordinates estimated from the differences between the This paper briefly introduces the RF for photogrammetric known and computed ground coordinates is 0.18 m. The RMS processing. Equations of space intersection with upward RF error of the Z coordinate is about 10 m. It is concluded that the are derived. The computational experimental result with one- RF expressed the SPOT scenes very well and that properly meter resolution Ikonos Geo stereo images and other airborne selected RFs can be used in operations of digital photogramdata verified the accuracy of the upward RF-based space metric systems. Tao and Hu (2000; 2001b) and Tao et al. (2000) intersection. We demonstrated different ways to improve the gave a least-squares solution for RF parameter generation and geopositioning accuracy of Ikonos Geo stereo imagery with assessed the fitting accuracy using simulated DEM data, a SPOT ground control points by either refining the vendor-provided scene, and an aerial image. In their comprehensive investigaIkonos RF coefficients or refining the RF-derived ground tion, various scenarios with different polynomial orders and coordinates. The accuracy of 3D ground point determination different forms of the denominators were tested and compared. was improved to 1 to 2 meters after the refinement. Finally, It was found that RFs are sensitive to the distribution of control we showed the potential for recovering sensor models of a points (CPs). If CPs are well distributed, RFs normally perform frame image and a linear array image from the RF. much better than regular polynomials (no denominator). Hu and Tao (2001) proposed two methods to update solutions of

132 citations


Patent
06 Oct 2003
TL;DR: In this paper, a reticle may include optical proximity correction (OPC) features and a set of exposure conditions, and a method may also include detecting defects on the reticle by comparing the aerial image to a reference image stored in a database.
Abstract: Methods and systems for inspecting a reticle are provided. In an embodiment, a method may include forming an aerial image of the reticle using a set of exposure conditions. The reticle may include optical proximity correction (OPC) features. The method may also include detecting defects on the reticle by comparing the aerial image to a reference image stored in a database. The reference image may be substantially optically equivalent to an image of the reticle that would be printed on a specimen by an exposure system under the set of exposure conditions. The reference image may not include images of the OPC features. Therefore, a substantial portion of the defects include defects that would be printed onto the specimen by the exposure system using the reticle under the set of exposure conditions. The method may also include indicating the defects that are detected in critical regions of the reticle.

74 citations


Patent
06 Oct 2003
TL;DR: In this paper, a method for inspecting a reticle is described, which involves forming an aerial image of the reticle with an inspection system at a wavelength different from a wavelength of an exposure system.
Abstract: Methods and systems for inspecting a reticle are provided. A method may include forming an aerial image of the reticle with an inspection system at a wavelength different from a wavelength of an exposure system. The method may also include correcting the aerial image for differences between modulation transfer functions (MTF) of the inspection system and the exposure system. In this manner, the corrected aerial image may be substantially equivalent to an image of the reticle that would be printed onto a specimen by the exposure system at the wavelength of the exposure system. In addition, the method may include detecting defects on the reticle using the corrected aerial image. The detected defects may include approximately all of the defects that would be printed onto a specimen by the exposure system using the reticle.

65 citations


Proceedings ArticleDOI
Juhwan Kim1, Minghui Fan1
15 Dec 2003
TL;DR: Simulation based full-chip verification became the method of choice for capturing hotspots on post-OPC layouts prior to mask tape-out to save development time and cost and a good match between prediction and experiment was found.
Abstract: OPC (Optical Proximity Correction) improves the feature CD (critical dimension) uniformity and pattern fidelity in general. However, since model calibration only takes CD measurements at optimum exposure dose and best focus condition, the correction result may not be desirable at non-optimum conditions due to significant sub-resolution process distortion. Certain specific patterns are prone to bridging or pinching (we refer this type of location as hotspot in this paper) when process drifts a little from optimum condition. Simulation based full-chip verification became the method of choice for capturing hotspots on post-OPC layouts prior to mask tape-out to save development time and cost. In this paper, a complete simulation and analysis flow using SiVL was experimented to capture hotspots for a 100nm node process. Calibrated process model and multiple optical models with different focus/threshold conditions were applied for simulation. The method and effectiveness of filtering and analyzing fatal errors from output error database was discussed. The analysis results were then correlated to actual wafer printing. A good match between prediction and experiment was found.

58 citations


Patent
08 Jan 2003
TL;DR: In this article, a photo-electron emission device is used to emit an electron in a pattern corresponding to the light intensity distribution produced by the aerial image, and a sensing unit senses the enlarged pattern.
Abstract: A system to sense an aerial image (12) produced by optical lithographic equipment used in, for example, semiconductor fabrication. In one embodiment, the system includes a photo-electron emission device (44) which, in response to an aerial image projected thereon, emits electron (50) in a pattern corresponding to the light intensity distribution produced by the aerial image. Electron optics provides an enlarged pattern of the pattern in which the electrons are emitted. A sensing unit (64) senses the enlarged pattern. In another embodiment, the system employs a photo-conducting layer to project the aerial image thereon. The photo-conducting layer, in response to the projection of the aerial image thereon, produces local charge depletion corresponding to the light intensity distribution. A steering device delivers electrons to the photo-conducting layer to produce local re-charging currents in proportion to the local charge depletion. A pattern corresponding to the aerial image may be obtained from the re-charging currents.

58 citations


Patent
06 Oct 2003
TL;DR: In this paper, the first and second aerial images of a reticle are used to detect defects on the reticle and then the second aerial image is used to analyze the defects.
Abstract: Methods and systems for inspecting a reticle are provided. In an embodiment, a system may include an inspection subsystem configured to form a first aerial image of the reticle. The first aerial image may be used to detect defects on the reticle. The system may also include a review subsystem coupled to the inspection subsystem. For example, the inspection and review subsystems may have common optics, separate optics and a common stage, or separate stages and a common handler. The review subsystem may be configured to form a second aerial image of the reticle. The second aerial image may be used to analyze the defects. In another embodiment, the system may include an image computer configured to receive image data from the inspection and review subsystems representing the first and second aerial images. The image computer may also be configured to perform one or more functions on the image data.

57 citations


Patent
05 Sep 2003
TL;DR: In this paper, a combination of focusing reflectors, beamsplitter polarizing filters, and light sources was used to produce aerial images, where an object to be displayed is illuminated, and its image partially reflected by the beams-plitter to a focusing mirror and reflected to an aerial position.
Abstract: Apparatus for producing aerial-images is disclosed employing a combination of focusing reflectors, beamsplitter polarizing filters, and light sources. An object to be displayed is illuminated, and its image partially reflected by the beamsplitter to a focusing mirror and reflected to an aerial position. A polarizer prevents ambient light or images from degrading or interfering with the aerial-image. A clock radio, personal television display counter, as well as animated mannequin versions are disclosed.

32 citations


Patent
Kazuya Ota1
07 Mar 2003
TL;DR: In this article, when a mask is irradiated obliquely with light from a lighting system, the light reflected from the mask was projected onto a wafer through a projection optical system, and the pattern of the mask is transferred to the wafer.
Abstract: When a mask is irradiated obliquely with light from a lighting system, the light reflected from the mask is projected onto a wafer through a projection optical system, and the pattern of the mask is transferred to the wafer. If the magnification of the projection optical system changes because of a vertical movement of the mask, a control unit detects the projection position of the mask pattern image on a stage by an aerial image sensor and also detects a mark on the aerial image sensor by a mark detector so as to determine the baseline of the mark detector. Thus, the positional shift of the projection position of the mask pattern image on the wafer due to the change in magnification is corrected to sufficiently restrict or prevent alignment inaccuracy associated with the change in magnification.

32 citations


Proceedings ArticleDOI
26 Aug 2003
TL;DR: XPE-87 as mentioned in this paper uses new and improved methods for database rendering, defect detection and image contrast adjustment, which can accommodate the reticle characteristics, inspecting plates with complex features and advanced Sub-Resolution Assist Features at high sensitivity and low false defect count.
Abstract: The implementation of low k 1 193nm lithography for 90nm node IC production brings new challenges to reticle inspection systems. The inspection tools have to deal with new attenuating films, smaller and more complex features, and more aggressive OPC. In addition, low k 1 lithography causes the mask error factor (MEEF) to increase, magnifying CD errors. This, in turn, makes reticle defect detection specifications more aggressive. Achieving high sensitivity, low false defect count, for a full plate inspection is a big challenge. Those three (high sensitivity, low false defect count, full plate inspection) are the three “legs” that must support real die-to-database inspection. In order to demonstrate inspection success, all three must be achieved. Without any of them, there is no die-to-database inspection solution. The capabilities described in this paper (the XPE die-to-database algorithm working with the KLA-Tencor TeraStar TM SLF87 system) were developed precisely because no tool in the industry was capable of meeting all of these requirements. The industry was in urgent need of a die-to-database system that is capable of inspecting reticles for the 90nm node at high sensitivity, with a low false defect count for a full plate inspection. XPE, the new die-to-database inspection algorithm for the TeraStar SLF87 (XPE-87), has been developed for the inspection of 193nm lithography reticles to be used for the 90nm node and beyond. XPE-87 uses new and improved methods for database rendering, defect detection and image contrast adjustment. The algorithm can accommodate the reticle characteristics, inspecting plates with complex features and advanced Sub-Resolution Assist Features (SRAFs) at high sensitivity and low false defect count. Thanks to enhancements to system hardware and light calibration routines, the algorithm is very effective at inspecting 90nm node ArF half-tone reticles. XPE-87 has been characterized with 193nm and 248nm EPSM versions of Spica, a new programmed defect test reticle. In the presence of complex OPC, results show a substantial improvement in sensitivity compared to previous die-to-database inspection algorithms. The new algorithm has also been used to inspect a variety of 193nmEPSM, 248 EPSM and chrome on glass production reticles. The results show significant improvement for the inspection of 90nm node half-tone reticles including plates with SRAFs. Simulations were performed to verify the XPE-87 potential for defect detection. Evaluating changes in signal profile due to the presence of defects, a comparison was performed between the aerial profile of the XPE-87 at UV inspection aerial image and the wafer print aerial image at 193nm. The results, show a larger signal for defects in small lines. Keywords: Reticle, Mask, Inspection, ArF, SRAF, OPC, CD, Defect, Aerial, Database

30 citations


Journal ArticleDOI
TL;DR: Cymer et al. as mentioned in this paper investigated the imaging impacts of KrF laser wavelength and spectral bandwidth using aerial image simulation; the behavior of the process window, mask error enhancement factor (MEEF), image placement, proximity effect, and sidelobe intensity is quantified.
Abstract: Khurshid Ahmed Cymer Inc. San Diego, California Abstract. Recent development of high-precision aberration measurement techniques has enabled in situ characterization of the aberration response to wavelength offset. These measurements show that majority of the reconstructed Zernike terms exhibit some degree of sensitivity to wavelength. Although this dependence diminishes with the increasing order of Zernike polynomial, we consider the cumulative contribution of five Zernike terms, which have the strongest wavelength dependence (Z2, Z4, Z6, Z8, and Z11). The imaging impacts of KrF laser wavelength and spectral bandwidth are investigated using aerial image simulation; the behavior of the process window, mask error enhancement factor (MEEF), image placement, proximity effect, and sidelobe intensity is quantified. In this model, the chromatic aberrations are experimentally measured in a 0.68-NA KrF step-and-scan exposure system using the LITEL aberration test (InspecStep interferometer manufactured by LITEL Instruments, Inc., San Diego, California). The illumination spectrum input is characterized by spectroscopic measurement of a 2-KHz KrF laser source. In the lithography model, it is important to incorporate all of the wavelength-sensitive terms due to the additive contribution to the overall lens aberration balance. As shown previously, the longitudinal and lateral chromatic aberrations (image height and magnification) are the most sensitive to shift in center wavelength and have the strongest contribution to the aerial image modulation. Simulation results show several imaging changes for isolated lines and contact holes with changes in illumination spectrum. However, the rates of change are shown to decrease as bandwidth is reduced well into the subpicometer level. In the case of isolated contacts, the depth of focus (DOF) increases with the increase in bandwidth, however, at the expense of reduced exposure latitude. This suggests that engineering the spectral output of the laser can provide some process enhancement, although careful compromise is needed to utilize any DOF enhancement, since other image metrics including MEEF, side-lobe intensity, and image placement are also affected. © 2003 Society of Photo-Optical Instrumentation Engineers. [DOI: 10.1117/1.1562929]

Proceedings ArticleDOI
25 Jun 2003
TL;DR: In this article, the experimental pass/fail data is used to build models that accurately identify and predict printing failures. But, the model is always a less accurate process than interpolation.
Abstract: In conventional Optical and Process Correction (OPC), models are calibrated with the CD measurement from the “good” printable patterns. Predictions of process window loss are based on extrapolation from the “good” region into the failure region. The extrapolation is always a less accurate process than interpolation. In this paper, we utilize the experimental pass/fail data to build models that accurately identify and predict printing failures. We developed a methodology and a formal apparatus for failure modeling. It is found that two or more aerial image shape parameters are required to describe all failure mechanisms for a sub-100nm process. This empirical failure model is currently applied to Optical Rule Checking (ORC) of the post-OPC layout. It also can be used to constrain layout corrections in the future.

Proceedings ArticleDOI
25 Jun 2003
TL;DR: This paper enlarge the datasets used for the assessment of the DAIM by considering both lines and contact holes of various sizes printed at different wavelengths, and shows that the accuracy of DAIM is comparable to more sophisticated and heavier models.
Abstract: Lithography modeling is a very attractive way to predict the critical dimensions of patterned features after lithographic processing. In a previous paper, we have presented the assessment of three different simplified resist models (aerial image model, aerial image convolved with fixed gaussian noise and aerial image convolved with variable gaussian noise) by using a systematic comparison between experimental and simulated data. It has been shown that the aerial image convolved with fixed gaussian noise, or "diffused aerial image model" (DAIM), exhibits surprisingly good results of CD prediction for lines @ 193nm. Using these datasets, the DAIM appeared as an accurate model for CD prediction. This approach allows also an easy run, and because it needs only four adjustable parameters, it avoids the difficult task of resist parameters extraction associated to full resist models. In this paper, we enlarge the datasets used for the assessment of the DAIM by considering both lines and contact holes of various sizes printed at different wavelengths. The reference wafers have been printed at 248nm, 193nm and 157 nm. The procedure used to extract the model parameters has been improved and now needs less data to provide acceptable values. We will show that the validity of the DAIM extends well outside the results presented in Ref. 1. Experimental data printed using various wavelengths, resists and exposure tools can be simulated accurately with CD prediction error ranging within few percents. It is to be noted that the results that will be presented on contact holes data indicate that the model is valid for 2D features. Finally, a comparison with full resist models shows that the accuracy of DAIM is comparable to more sophisticated and heavier models.

Patent
11 Mar 2003
TL;DR: In this article, an effective projection lens image for the image source is produced by convolving the lens image with an image of the illuminator aperture shape, which is then used to produce a corrected effective lens image.
Abstract: A fast method simulates photolithography using conventional image processing techniques. Convolution simulates blurring due to optics; erosion and dilation correct for edge diffraction. To produce the convolution kernel, an effective projection lens image for the image source is produced by convolving the lens image with an image of the illuminator aperture shape. An effective projection lens image for the stepper is produced similarly. The stepper effective lens image is divided by the image source effective lens image to produce a corrected effective lens image. A corrected convolution kernel is produced by taking a Fourier transform of the corrected effective lens image. The kernel is used to convolve the image, once using energy and once using voltage, and then squaring the result. The aerial image is produced by blending the energy and voltage convolutions according to the computed partial coherence of the optics. Complex convolution is used to represent relative phases other than 180 degrees.

Proceedings ArticleDOI
Zhihao Qin1, Wenjuan Li, Manchun Li, Zhongxin Chen, Goquing Zhou1 
21 Jul 2003
TL;DR: Using a data, matrix and an index image, a methodology is proposed to automatically orthorectify large-scale urban aerial images and detect building occlusions for true orthoimage generation, provided that the digital surface model is available and the overlap of neighboring image is large enough to ensure 100% visibility of the occluded area.
Abstract: In urban area with high buildings, conventional orthorectification using digital terrain model (DTM) cannot meet the requirements of true orthoimage generation. Using digital surface model (DSM) integrating from DTM and digital building model (DBM), we propose a methodology in the study to automatically orthorectify large-scale urban aerial image and detect building occlusions for true orthoimage generation. Principle behind the methodology is the optical characteristic of buildings in photogrammetry, in which roof and root sharing the same coordinate are featured with different distances to imagery center. Therefore, in practical operation, we first compute the distances of input image pixels to imagery center and their coordinates is output orthoimage. A data matrix is used to remember the distances and coordinated. Then we compare the coordinates in the matrix. When pixels have the same coordinate, the one with the longest distance represents building root. For true orthoimage generation, only gray value of the roof need to convert into the output image and others are treated as occluded area. By creating an index image, the occluded area can be recorded for next step processing, such as refilling form neighboring orthoimages. Therefore, using a data, matrix and an index image, we are able to automatically orthorectify large-scale urban aerial images and detect building occlusions for true orthoimage generation, provided that the digital surface model is available and the overlap of neighboring image is large enough to ensure 100% visibility of the occluded area.

Patent
15 Dec 2003
TL;DR: In this paper, an optical subsystem is configured to produce an aerial image of a reticle by simulating dose as a function of position that would be projected into a resist by an exposure system such that the aerial image is substantially equivalent to an image of the reticle that is projected into the resist by the exposure system.
Abstract: Reticle inspection systems are provided. One embodiment includes an optical subsystem configured to produce an aerial image of a reticle by simulating dose as a function of position that would be projected into a resist by an exposure system such that the aerial image is substantially equivalent to an image of the reticle that would be projected into the resist by the exposure system. Another embodiment includes an optical subsystem configured to alter one or more properties of light such as polarization transmitted by a reticle and to project the light onto a detector. An additional embodiment includes an optical subsystem configured to form an intermediate aerial image of a reticle at a numerical aperture approximately equal to a numerical aperture at which an exposure system projects an image of the reticle into a resist and to project the intermediate aerial image onto a detector.

Proceedings ArticleDOI
15 Dec 2003
TL;DR: In this paper, the authors define suitable additional test structures in order to improve the robustness of subsequent empirical OPC models, which can be used to obtain a representative sampling of the circumstances encountered in an actual product layout.
Abstract: With advanced CMOS technologies, model-based optical proximity correction (OPC) has become the most important aspect of post-tape-out data preparation for critical mask levels. While fabrication processes certainly remain the foundation of a qualified technology, the quality of OPC is increasingly moving into the focus of efforts to further improve yield. For a typical model-based OPC tool, the full OPC model consists of two distinct parts: (1) An aerial image part, based on a few, well-defined optical parameters of the lithography tool to describe the light intensity distribution in air at the wafer level and (2) an empirical part to model all other aspects of the pattern transfer, based on different black box modeling techniques such as kernel convolution or variable threshold modeling. Most importantly, the parameters for the empirical part are usually determined by fitting the model to proximity data measured from test structures. As a consequence, the robustness of the full OPC model for productive usage correlates directly with the extent to which these test structures provide a representative sampling of the circumstances encountered in an actual product layout. In order to determine the quality of this sampling, full-chip aerial image analyses are performed for various mask levels of a product design. A comparison of the characteristics of the light intensity distributions of this design with the corresponding information obtained from the test structures reveals configurations that are not well covered by the latter. This insight allows the definition of suitable additional test structures in order to improve the robustness of subsequent empirical OPC models.

Patent
27 May 2003
TL;DR: In this paper, the authors present a technique of measuring, inspecting, characterizing and/or evaluating optical lithographic equipment, methods, and materials used therewith, for example, photomasks.
Abstract: In one aspect, the present invention is a technique of, and a system and sensor for measuring, inspecting, characterizing and/or evaluating optical lithographic equipment, methods, and/or materials used therewith, for example, photomasks. In one embodiment, the system, sensor and technique measures, collects and/or detects an aerial image produced or generated by the interaction between the photomask and lithographic equipment. An image sensor unit may measure, collect, sense and/or detect the aerial image in situ - that is, the aerial image at the wafer plane produced, in part, by a product-type photomask (i.e., a wafer having integrated circuits formed during the integrated circuit fabrication process) and/or by associated lithographic equipment used, or to be used, to manufacture of integrated circuits. In this way, the aerial image used, generated or produced to measure, inspect, characterize and/or evaluate the photomask is the same aerial image used, generated or produced during wafer exposure in integrated circuit manufacturing. In another embodiment, the system, sensor and technique characterizes and/or evaluates the performance of the optical lithographic equipment, for example, the optical sub-system of such equipment. In this regard, in one embodiment, an image sensor unit measures, collects, senses and/or detects the aerial image produced or generated by the interaction between lithographic equipment and a photomask having a known, predetermined or fixed pattern (i.e., test mask). In this way, the system, sensor and technique collects, senses and/or detects the aerial image produced or generated by the test mask - lithographic equipment in order to inspect, evaluate and/or characterize the performance of the lithographic equipment.

Proceedings ArticleDOI
TL;DR: The Fraunhofer IMS in Dresden is developing and fabricating spatial light modulators (SLMs) for micro lithography with DUV radiation as mentioned in this paper, where the parameters of these are stored in a look-up table so that the proper addressing voltage for the required optical response can be selected.
Abstract: The Fraunhofer IMS in Dresden is developing and fabricating spatial light modulators (SLMs) for micro lithography with DUV radiation. The accuracy of analog modulation is very important for the resulting accuracy of the generated features. On the other hand, fabrication tolerances create variations for example in spring constant, zero voltage deflection, and reflectivity. The slightly different response curves of the individual pixels therefore require an individual calibration. The parameters of these are stored in a look-up table so that the proper addressing voltage for the required optical response can be selected. As the deflection angle as well as the size of the SLM pixels are quite small, a direct measurement of the pixel response is not straightforward. An optical system similar to the one in the lithography machine has been set up, where the SLM is operating as a phase grating and the image is generated by a spatial filter. The pixel deflection can be calculated from the aerial image for isolated deflected pixels. The background pixels, that are not calibrated yet, contribute some error to this calculation. However, this error is not very large. Simulations regarding the accuracy of this measurement are discussed, and experimental results are shown.

Proceedings ArticleDOI
Mark D. Smith1, Chris A. Mack1
26 Jun 2003
TL;DR: In this paper, a suite of closed-form solutions that are particularly relevant to optical lithography simulation is presented, including solutions for both scalar and vector imaging models and for imaging with and without aberrations.
Abstract: Numerical simulation has become an indispensable tool for the design and optimization of photolithographic processes. Because the semiconductor industry now relies heavily on these tools, it is necessary to be able to benchmark their accuracy - as feature sizes continue to shrink, the numerical error in these simulators must decrease as well. Fortunately, there is a large body of literature from the optics community that can be used to benchmark the absolute accuracy of an aerial image calculation. We present a suite of closed-form solutions that are particularly relevant to optical lithography simulation. Our tests include solutions for both scalar and vector imaging models and for imaging with and without aberrations. These tests will be used to demonstrate how to identify many of the common numerical problems that can arise when performing aerial image calculations, and the closed-form solutions will be outlined in a form that will allow the tests to be applied to any aerial image simulator. Specific results will be presented for PROLITH and SPLAT. Both of these simulators give results that are in agreement with the closed-form test problems.

Proceedings ArticleDOI
13 Jun 2003
TL;DR: In this article, the ETS with POB1 has been used to understand initial system performance and lithographic learning and several system upgrades have been made to improve ETS lithographic performance to meet or exceed the original design objectives.
Abstract: Static and scanned images of 100nm dense features for a developmental set of l/14 optics (projection optics box # 1, POB 1) in the Engineering Test Stand (ETS) were successfully obtained with various LPP source powers last year. The ETS with POB1 has been used to understand initial system performance and lithographic learning. Since then, numerous system upgrades have been made to improve ETS lithographic performance to meet or exceed the original design objectives. The most important upgrade is the replacement of POB 1 with an improved projection optics system, POB2, having lower figure error (l/20 rms wavefront error) and lower flare. Both projection optics boxes are a four-mirror design with a 0.1 numerical aperture. Scanned 70-nm dense features have been successfully printed using POB2. Aerial image contrast measurements have been made using the resist clearing method. The results are in good agreement to previous POB2 aerial image contrast measurements at the subfield exposure station (SES) at Lawrence Berkeley National Laboratory. For small features the results deviate from the modeling predictions due to the inherent resolution limit of the resist. The intrinsic flare of POB2 was also characterized. The experimental results were in excellent agreement with modeling predictions. As predicted, the flare in POB2 is less than 20% for 2μm features, which is two times lower than the flare in POB1. EUV flare is much easier to compensate for than its DUV counterpart due to its greater degree of uniformity and predictability. The lithographic learning obtained from the ETS will be used in the development of EUV High Volume Manufacturing tools. This paper describes the ETS tool ETS tool setup, both static and scanned, that was required after the installation of POB2. The paper will also describe the lithographic characterization of POB2 in the ETS and cmpare those results to the lithographic results obtained last year with POB1.

Proceedings ArticleDOI
Mark D. Smith1, Chris A. Mack1
25 Jun 2003
TL;DR: In this article, the authors compared the performance of three full resist models: the LPM, the aerial image threshold model, and the Lumped parameter model with the full physical models in PROLITH, and compared the resulting models' ability to predict process windows, line end shortening and defect printability.
Abstract: While numerical simulation is generally regarded as indispensable for wavefront engineering tasks such as OPC decoration and phase-shift mask design, full resist models are rarely used for this purpose. By "full resist models", we mean models derived from a physical, mechanistic description of the chemical response of the photoresist to exposure and the subsequent PEB and develop processes. More often, simplified models such as an aerial image threshold model or the Lumped Parameter Model (LPM) are used because these models are much faster and make optimization of optical extension technology more tractable. In a previous study, we examined the differences between the process windows calculated with full and simplified models, and we showed that the aerial image threshold model was not capable of describing even the qualitative shape of the process window calculated with the LPM and the full physical models in PROLITH. However, the comparison in our previous study was for an isolated line resist, and this class of resists typically has low contrast in order to improve depth of focus. In the current study, we compare the aerial image threshold model, the aerial image threshold with resist bias model, and the Lumped Parameter Model with the full physical models in PROLITH. All of the models are evaluated for simulating the response of both high and low contrast resists, and then we compare the resulting models' ability to predict process windows, line end shortening and defect printability.

Proceedings ArticleDOI
25 Jun 2003
TL;DR: In this paper, the authors present a simulation methodology based on incoherent image superposition for treatment of the general aerial image effects of transverse image-blur in two dimensions, and show that the fading requirements for 65nm and 45nm node imaging notably differ from predicted exposure set-up and process contributions in manufacturing.
Abstract: The deployment of 157nm lithography for manufacturing of integrated circuits is faced with many challenges. The 65 and 45nm ITRS nodes, in particular, require that the lithographic imaging technology be pursued to its theoretical limits with full use of the strongest resolution enhancement techniques. Stringent demands are therefore placed on the quality of the imaging optics to attain the optimal image fidelity for all critical IC device structures. Besides aberrations and light scatter in projection optics, image quality is also strongly influenced by the dynamics of the wafer and reticle stage. The tradeoffs involved in increasing scan speeds and exposure slit-widths, to achieve the ever-important productivity improvements as well as aberration, distortion, and pulse-energy averaging, must be carefully gauged against the image quality impacts of scan-induced errors. In this work, we present a simulation methodology, based on incoherent image superposition, for treatment of the general aerial image effects of transverse image-blur in two dimensions. Initial simulations and experimental results from state-of-the-art 193nm scanner exposures are discussed. The requirements for the transverse image stability during a step-and-scan exposure are defined in the context of 193nm and 157nm lithography, based on generalized image contrast and process window criteria. Furthermore, careful consideration of actual mask layout (post resolution enhancement and optical proximity correction) is necessary in order to understand the implications on CD control. Additionally, we discuss the contributors to transverse image blur in scan-and-repeat lithography, and show that the fading requirements for 65nm and 45nm node imaging notably differ from predicted exposure set-up and process contributions in manufacturing. The total fading budget, or tolerance, for the 65nm node is 15nm, and less than 10nm for the 45nm node given the present imaging strategy assumptions. This work concludes that image-blur contributors must be well controlled, and as such are enablers of 65nm and 45nm lithographic imaging.

Proceedings ArticleDOI
26 Aug 2003
TL;DR: In this paper, the authors proposed a new strategy to assess photomask quality by checking the CD variation on wafer (defect printability) using aerial image simulation, which achieved better accuracy for 0.72um contact holes on ArF Att.PSM.
Abstract: As 90 nm devices enter into the pre-production phase, the quality assurance strategy of photomasks for those devices must be well established with the proper cost and turn-around-time in mind. Such devices will be manufactured with a state-of-the-art photolithography systems equipped with 193nm actinic light sources. Photomasks for these devices are being produced with the most advanced equipment, material and processing technologies and yet, quality assurance still remains an issue for volume production. These issues include defect classification and disposition due to the insufficient resolution of the defect inspection system, uncertainty of the impact the defects have on the printed feature as well as inconsistencies of classical defect specifications as applied in the sub-wavelength era. To overcome these issues, the authors propose a new strategy to assess photomask quality by checking the CD variation on wafer (defect printability) using aerial image simulation. This method of simulation-based mask qualification uses aerial image defect simulation in combination with a high resolution optical review system with shorter review wavelength (248nm) and smaller pixel size (22.5nm)- combining the defect inspection system with a longer inspection wavelength (365nm) and larger pixel size (150nm). This paper discusses a new strategy on mask quality assurance with several experimental results that proves the applicability for enabling 90nm technology nodes. Combining high-resolution optical images captured by DUV measurement tool with Virtual Stepper System has achieved better accuracy for 0.72um contact holes on ArF Att.PSM. However, we need further investigation for precise prediction of CD variation caused by defects on 0.4um line/space patterns on ArF Att.PSM. This paper also discusses future work to make the strategy production-worthy.

Proceedings ArticleDOI
15 Dec 2003
TL;DR: The ALTA 4300 system has been used to successfully write many advanced design layers previously only feasible with 50kV vector shaped beam tools in order to further enlarge the application space of this high productivity as discussed by the authors.
Abstract: The ALTA 4300 system has been used to successfully write many advanced design layers previously only feasible with 50kV vector shaped beam tools In order to further enlarge the application space of this high productivity an aerial image enhancement technique has been developed to deliver mask patterns that more closely match pattern data for corners and jogs This image enhancement is done in real time in the ALTA system’s rasterizer by modifying the gray level mapping of pixels near the corner vertexes SEM measurements of corner rounding with standard rasterization and the enhanced rasterization show an improvement of corner rounding radius from ~205 to ~132 nm A direct comparison of SEM micrographs show no qualitative difference between vector scan mask features and those written with aerial image enhancement This convincingly demonstrates that the ALTA 4300 system with the new image enhancement can write many layers requiring vector scan corner acuity

Proceedings ArticleDOI
Fang Xu1, Xin Li, Qin Yan
02 Nov 2003
TL;DR: An approach to integrate SVM with pyramid image and decision tree and the water boundary is modified in the snake method to show the feasibility of the proposed method.
Abstract: This paper gives an approach to integrate SVM with pyramid image and decision tree. Finally, the water boundary is modified in the snake method. Experiment results on aerial image show the feasibility of the proposed method.

Patent
29 Jan 2003
TL;DR: In this paper, a light beam emitted from a light source is converted into a converged light beam by a converging optical system (collimating optical system) and is made incident on a scanning optical system arranged in the vicinity of its focus.
Abstract: PROBLEM TO BE SOLVED: To provide a scanning image observation device, which enables an observer to observe a bright image by an eyepiece optical system without using a two-dimensional display panel. SOLUTION: A light beam 11, emitted from a light source 3, is converted into a converged light beam by a converging optical system (collimating optical system) 4 and is made incident on a scanning optical system arranged in the vicinity of its focus. The light beam 11 reflected and deflected by the scanning optical system is made incident on a collimator lens 6 as a diffused light beam and is converted into a beam of approximately parallel rays, and is made incident on a microlens array 7, to form an image of the light source in the vicinity of its focus. When the light beam 11 scans in the scanning optical system 5, the position of an image point, formed in the microlens array 7, is scanned to form an aerial image panel 8 in the vicinity of the focus of the microlens array. The scanning optical system 5 perform two-dimensional scanning, and the aerial image paned 18 is formed in two-dimensional shape. An observer 10 will observe the image on a two-dimensionally formed aerial image panel 8 as an aerial image by an eyepiece optical system 9.

Journal ArticleDOI
TL;DR: The potential of a combined edge- and region-based segmentation technique for the purpose of detecting characteristic man-made objects is tested and compared to standard techniques using a new quality measure and the presented approach proves its value, especially for objects with low-contrast boundaries.
Abstract: For the monitoring of urban areas, high-resolution aerial image data are still primarily used to detect individual man-made structures. Image segmentation techniques extract and provide basic information such as regions of similar features or typical object edges for further model-driven analysis. Therefore, the quality of the final object recognition depends strongly on this information. In this paper, the potential of a combined edge- and region-based segmentation technique for the purpose of detecting characteristic man-made objects is tested and compared to standard techniques using a new quality measure. The presented approach proves its value, especially for objects with low-contrast boundaries.

Proceedings ArticleDOI
15 Dec 2003
TL;DR: In this article, the correlation of measurements made with UV and DUV-based inspection systems; simulation performed with a 193nm aerial image review tool and aerial image simulation software is discussed.
Abstract: Photomasks with small dense features and high mask error enhancement factor (MEEF) lithography processes require stringent reticle quality control. The ability to quickly and accurately measure reticle defects on a high-resolution inspection system and to simulate their impact on wafer printing are key components in ensuring photomask quality. This paper discusses the correlation of measurements made with UV and DUV-based inspection systems; simulation performed with a 193nm aerial image review tool and aerial image simulation software. Ease-of-use is discussed for each technique. Data accuracy is compared to measurements performed by a Scanning Electron Microscope (SEM) on mask and wafer. Tests show that the inspection system can quickly and accurately determine sizes of most defects. The study also indicates that the simulation techniques can accurately tract the lithographic results, and can be used to reduce or eliminate the use of test wafers and expensive lithography and wafer metrology time. The outcome of this study leads to better defect dispositioning by providing techniques to determine the size and printability of reticle defects.

Proceedings ArticleDOI
29 Sep 2003
TL;DR: A model-driven approach that combines both the local and global criteria about the radiometry and geometry of linear structures interested is proposed, which has been experimented on high-resolution aerial image and its performance is satisfied.
Abstract: In this paper, we propose a technique that combined template matching and support vector machine for road identification from high-resolution aerial image. It is a model-driven approach that combines both the local and global criteria about the radiometry and geometry of linear structures interested. In this approach, the road center point is extracted by utilizing the general road model. Then the road center point is used as initial point for the template matching through which the road segment is obtained. The road characteristic is learned through the support vector machine that is based on the statistical learning theory. The support vector machine is a powerful learning method thatit can get high classification accuracy without too much training sample. These properties can be applied for extracting the road characteristics from few road samples. The support vector machine is used to extract the true road segment and remove the false road segment. The proposed approach has been experimented on high-resolution aerial image and its performance is satisfied.