scispace - formally typeset
Search or ask a question

Showing papers on "Aerial image published in 2004"


Journal ArticleDOI
TL;DR: In this article, a 3D building reconstruction method that integrates the aerial image analysis with information from large-scale 2D Geographic Information System (GIS) databases and domain knowledge is presented.
Abstract: Automatic 3D building reconstruction has becoming increasingly important for a number of applications. The reconstruction of buildings using only aerial images as data source has been proven to be a very difficult problem. The complexity of the reconstruction can be greatly reduced by combining the aerial images with other data sources. In this paper, we describe a 3D building reconstruction method that integrates the aerial image analysis with information from large-scale 2D Geographic Information System (GIS) databases and domain knowledge. By combining the images with GIS data, the specific strengths of both the images (high resolution, accuracy, and large-information content) and the GIS data (relatively simple interpretation) are exploited.

236 citations


Patent
12 Jan 2004
TL;DR: In this article, a technique for measuring, inspecting, characterizing and/or evaluating optical lithographic equipment, methods, and materials used therewith, for example, photomasks is presented.
Abstract: In one aspect, the present invention is a technique of, and a system and sensor for measuring, inspecting, characterizing and/or evaluating optical lithographic equipment, methods, and/or materials used therewith, for example, photomasks. In one embodiment, the system, sensor and technique measures, collects and/or detects an aerial image produced or generated by the interaction between the photomask and lithographic equipment. An image sensor unit may measure, collect, sense and/or detect the aerial image in situ—that is, the aerial image at the wafer plane produced, in part, by a product-type photomask (i.e., a wafer having integrated circuits formed during the integrated circuit fabrication process) and/or by associated lithographic equipment used, or to be used, to manufacture of integrated circuits. In this way, the aerial image used, generated or produced to measure, inspect, characterize and/or evaluate the photomask is the same aerial image used, generated or produced during wafer exposure in integrated circuit manufacturing. In another embodiment, the system, sensor and technique characterizes and/or evaluates the performance of the optical lithographic equipment, for example, the optical sub-system of such equipment. In this regard, in one embodiment, an image sensor unit measures, collects, senses and/or detects the aerial image produced or generated by the interaction between lithographic equipment and a photomask having a known, predetermined or fixed pattern (i.e., test mask). In this way, the system, sensor and technique collects, senses and/or detects the aerial image produced or generated by the test mask—lithographic equipment in order to inspect, evaluate and/or characterize the performance of the lithographic equipment.

175 citations


Proceedings ArticleDOI
14 May 2004
TL;DR: In this paper, a technique was developed to investigate the role of aerial image contrast and image-log-slope (ILS) on the resulting magnitude of line edge roughness (LER) in resist with the goal of determining if the minimization of LER in current state-of-the-art, chemically amplified resist materials was limited by the quality of the projected aerial image or the materials and processing of the resist.
Abstract: A technique was developed to investigate the role of aerial image contrast and image-log-slope (ILS) on the resulting magnitude of line edge roughness (LER) in resist with the goal of determining if the minimization of LER in current state-of-the-art, chemically amplified resist materials was limited by the quality of the projected aerial image or the materials and processing of the resist. The process of image fading was employed as the vehicle for controlled aerial image degradation. By reducing the quality of the aerial image through fading, the image contrast and ILS were decreased in a well-controlled and predictable manner, resulting in increased magnitude of LER. The link between experiment and simulation was made possible by the identification of the iso-fading condition, which in analogy to the iso-focal dose, results in a unique exposure dose for which the critical dimension (CD) of a resist feature does not change with increasing levels of fading. At the iso-fading condition, experimentally measured values for LER were analyzed as a function of the contrast and ILS of the aerial image used for patterning. It was determined that contrast was a poor predictor of the magnitude of LER though variations in feature type or illumination. The change in LER as a function of the ILS, however, produced a common basis for the comparison of LER through variations in line width, pitch, fading, increased background level of light, and illumination conditions. To include the effects of exposure dose on the resulting LER of resist features, the experimentally measured analog of the ILS, the resist edge-log-slope (RELS), was also used to produce a common curve for the evaluation of resist LER. Although overexposure can be used to further increase the ILS of the aerial image at the edge of the printed feature, the magnitude of 3σ LER in PAR735 resist appeared to be limited to a value of approximately 5.0nm in the limit of infinite RELS. This suggested that while the aerial image plays a strong role on determining the magnitude of LER during resist printing, there also exists a fundamental limitation to LER from the resist materials that cannot be improved by further increase in the quality of the aerial image.

71 citations


Patent
05 Nov 2004
TL;DR: In this paper, a model OPC is developed based on eigen decomposition of an aerial image expected to be produced by a mask pattern on a surface of a resist, which is used to evaluate performance of a mask.
Abstract: Model OPC is developed based on eigen decomposition of an aerial image expected to be produced by a mask pattern on a surface of a resist. With the eigen decomposition method the aerial image intensity distribution around a point (x, y) is accurately described in the model. A scalar approach may be used in the eigen decomposition model which treats the light wave through the mask as a scalar quantity. A eigen decomposition alternatively may use a vector approach which utilizes a vector to describe the light wave and the pupil function. A predicted SPIF may be generated from the aerial image which may be used to verify the mask modeling process by comparing the predicted SPIF to an experimentally determined SPIF. The model OPC, once calibrated, may be used to evaluate performance of a mask and refine features of the mask.

65 citations


Journal Article
TL;DR: In this paper, a new photolithographic technique called SHRINC (Super High Resolution by Illum-nation Control ) is proposed to improve the resolution and depth-of-focus by optimum arrangement of the illumination system in respect of the angle of the 1st-order of diffraction generated by the reticle pitch.
Abstract: We have established a new photolithographic technique called SHRINC ( Super High Resolution by Illum-Nation Control ) which is based on an innovative illumination system. SHRINC improves the resolution and depth-of-focus ( DOF ) by optimum arrangement of the illumination system in respect of the angle of the 1st-order of diffraction generated by the reticle pitch. The capabilities of SHRINC have been studied by computer simulation. Results from phase shift, annular illumination, and conventional illumination are compared with those of SHRINC. The results show that using SHRINC with 0.35μm line and space patterns, the DOF, defined as the distance over which the aerial image contrast exceeds 60%, is 2.5x larger than that obtained with conventional illumination, and almost the same as that with phase shift techniques. In our experiments we have obtained a critical resolution of 0.275μm and more than 2.8 μm DOF with 0.35μm L/S patterns, using an i-line stepper and SHRINC illumination. Moreover SHRINC is effective not only for simple line and space patterns, but also for complicated patterns with 0.30 or 0.35μm design rules, such as memory cell patterns or peripheral circuit patterns in the DRAM. From these results we conclude that i-line steppers with SHRINC will make possible pass production of 64M-DRAMs with single layer resist.

56 citations


Proceedings ArticleDOI
Nick Cobb1, Yuri Granik1
28 May 2004
TL;DR: An edge tagging technique that binds different models to different regions on the layout enabling aberration- or density- sensitive corrections is described, and application of this technique is shown on a layout section.
Abstract: In this paper, we will discuss two new concepts to be used in model-based OPC: model-based fragmentation, and model tagging to account for long-range proximity effects. In model-based fragmentation we create an initial fragmentation consisting of small fragmentation across the design. Then, specific fragments are removed according to image criteria in order to keep only those fragmentation points which affect the aerial image the most. Optical flare and long-range etch effects are challenging because they have long interaction ranges. We describe here an edge tagging technique that binds different models to different regions on the layout enabling aberration- or density- sensitive corrections. We show application of this technique on a layout section. We discuss how to implement these techniques in practice and what impact they have on OPC speed and accuracy.

50 citations


Patent
01 Jun 2004
TL;DR: An optical lithography system that has extended depth of focus exposes a photoresist coating on a wafer, and includes an illumination sub-system, a reticle, and an imaging lens that has a pupil plane function to form an aerial image of the reticle proximate to the photoresists as discussed by the authors.
Abstract: An optical lithography system that has extended depth of focus exposes a photoresist coating on a wafer, and includes an illumination sub-system, a reticle, and an imaging lens that has a pupil plane function to form an aerial image of the reticle proximate to the photoresist. The pupil plane function provides the extended depth of focus such that the system may be manufactured or used with relaxed tolerance, reduced cost and/or increased throughput. The system may be used to form precise vias within integrated circuits even in the presence of misfocus or misalignment.

49 citations


Patent
27 Aug 2004
TL;DR: In this paper, a set of expansion functions representing the optical system is used to account for aberrations and misalignment of an optical system, as well as any defects of a camera therein.
Abstract: Simulated aerial images for an optical system are made by forming a reference aerial image of a first mask used in connection with the optical system, and then capturing and processing the reference aerial image to generate a set of expansion functions representative of the optical system. The expansion functions account for aberrations and misalignment of the optical system, as well as any aberrations or other defects of a camera therein. The expansion functions are then used to compute simulated aerial images of other masks projected by the optical system. Thus, the expansion functions implicitly represent a calibration of the optical system for purposes of aerial image simulation, obviating the need for direct measurement of the actual aberrations and misalignment. Hence, a simulated aerial image of a second mask for the optical system can be computed by applying the expansion functions to a design of the second mask.

46 citations


Patent
30 Jun 2004
TL;DR: In this article, an apparatus and a method for manufacturing a 3D map by performing a high-resolution aerial photograph correction process using a satellite photograph was provided, and a two-dimensional ortho map is formed by using the corrected result, the aerial image information, and the satellite image information.
Abstract: PURPOSE: An apparatus and a method for manufacturing a three-dimensional map are provided to obtain the three-dimensional map by performing a high-resolution aerial photograph correction process using a satellite photograph CONSTITUTION: A geometric distortion correction process is performed to correct coordinates and width of a subject of aerial image information by using coordinates and width of the same subject of satellite image information(S15) An image omission correction process is performed to correct an omission part of a particular subject of the aerial image information by using the satellite image information(S16) A two-dimensional ortho map is formed by using the corrected result, the aerial image information, and the satellite image information(S17) A graphic process is performed to mix color information of multi-spectral image data(S18) A numerical map is formed by using the two-dimensional ortho map(S19) A DEM formation process is performed to form a DEM(Digital Elevation Model)(S20) A three-dimensional map is formed by projecting the two-dimensional ortho map into the DEM(S21)

46 citations


Patent
08 Oct 2004
TL;DR: In this article, a method detects roads in an aerial image of ground topology by determining low-level features, such as intensities and gradients, for each pixel in the aerial image, determining middle level features such as an orientation of each pixel from the low level features, and determining high level features from the middle level feature.
Abstract: A method detects roads in an aerial image of ground topology by determining low-level features, such as intensities and gradients, for each pixel in the aerial image, determining middle-level features, such as an orientation for each pixel from the low-level features, and determining high-level features from the middle-level features. Each high-level feature is assigned a probability, and the probabilities of the high-level features for each pixel are normalized and aggregated to a single probability that the pixel is associated with a road.

36 citations


Proceedings ArticleDOI
28 May 2004
TL;DR: In this paper, the authors provide practical guidelines for linking litho and etch components of a model, using a representative 70 nm process with a large etch bias as an example, which is representative of many sub-90 nm processes that rely on etch to shrink critical features.
Abstract: As post-litho process effects account for a larger and larger portion of CD error budgets, process simulation terms must be given more weight in the models used for proximity correction. It is well known that for sub-90 nm processes resist and etch effects can no longer be treated as a small perturbation on a purely optical (aerial image) OPC model. The aerial image portion of the model must be combined in a more appropriate way with empirical terms describing resist and etch effects. The OPC engineer must choose a model form which links an optical component with a resist/etch component in a manner that balances efficiency, robustness and fidelity to the aerial image, among other factors. No single way of connecting litho and etch models is ideal in all cases; the best form of linkage depends on the particular litho and etch process to be simulated. In this paper, we provide practical guidelines for linking litho and etch components of a model, using a representative 70 nm process with a large etch bias as an example. This 70 nm case study, which is representative of many sub-90 nm processes that rely on etch to shrink critical features, presents special challenges for OPC modeling. For the process under study, lines were are printed in resist at 120 nm, and the litho model was verified via resist SEM measurements taken at the resist edge. Note that a thresholded aerial image is not well-characterized a distance 25 nm from the resist edge. This is roughly the distance the edge moves back due to the etch step. Although in some cases etch bias can be calculated from aerial image contrast, in general etch bias cannot be predicted from the aerial image because litho and etch are governed by different underlying physics. The model forms available for linking litho and etch range from the efficient “lumped” form, which combines litho and etch simulation in a single model, to a highly accurate two-stage form which separates the two components. In this paper we evaluate the following model forms for applicability to the 70 nm process under study: 1) Aerial image/load kernel combined (“lumped”) model form 2) Aerial image/rule offset “hybrid” model form 3) Separate litho and etch models (2-stage correction)

Proceedings ArticleDOI
28 May 2004
TL;DR: In this article, the dual model of constant threshold resist model was proposed to enhance the accuracy of constant-threshold resist models for optical proximity correction, and the simulation results were also compared with experimental data.
Abstract: There have been several kinds of resist model proposed for optical proximity correction. The simplest one is the constant threshold resist model. By this method, only area with intensity above a certain threshold value would be developed. Unfortunately, the constant threshold resist model is too simplified to accurately describe the entire resist processes. To solve this problem, variable threshold resist models were proposed thereafter. The printed resist edge is characterized in terms of the aerial image properties, such as intensity, intensity slope and so forth. More parameters and freedoms are required to describe the complicated chemical reactions of the resist during exposure and development processes. However, the computation time for OPC would increase significantly due to the supplementary calculation of the extra aerial image properties. In this paper, the dual model of constant threshold was proposed to enhance the accuracy of constant threshold resist models. Two constant threshold resist models were determined by model fitting process based on different types of pattern structures. During the correction, one-dimensional and two-dimensional edges are identified first and different constant-threshold models were applied for simulation. Good corrections on both of the one-dimensional line/space widths and two-dimensional line-ends could be achieved. The simulation results were also compared with experimental data.

Proceedings ArticleDOI
28 May 2004
TL;DR: In this article, an experimental method to determine the resist parameters that are at the origin of general blurring of the projected aerial image is presented, including the effects of diffusion in the horizontal plane and a second cause for image blur that originates from a stochastic variation of the focus parameter.
Abstract: This study presents an experimental method to determine the resist parameters that are at the origin of a general blurring of the projected aerial image. The resist model includes the effects of diffusion in the horizontal plane and a second cause for image blur that originates from a stochastic variation of the focus parameter. The used mathematical framework is the so-called Extended Nijboer-Zernike (ENZ) theory. The experimental procedure to extract the model parameters is demonstrated for several 193 nm resists under various conditions of post exposure baking temperature and baking time. The advantage of our approach is a clear separation between the optical parameters, such as feature size, projection lens aberrations and the illuminator setting on the one hand and process parameters introducing blur on the other.

Patent
13 Jul 2004
TL;DR: In this paper, the distance between a reference point set in a surveying area from a first image pickup start point set within the sky within the surveyed area in advance and a flying body is measured and recorded in a recording means by flying the unmanned flying object equipped with a distance surveying means to survey the distances between a camera, a flying position detection means, an altitude detection means and an object.
Abstract: PROBLEM TO BE SOLVED: To correctly and efficiently collect aerial survey image to be utilized for generation of a three-dimensional image of high accuracy by using an unmanned flying object. SOLUTION: The distance between a reference point set in a surveying area from a first image pickup start point set in the sky within the surveying area in advance and a flying body is measured and recorded in a recording means by flying the unmanned flying object equipped with a distance surveying means to survey the distance between a camera, a flying position detection means, an altitude detection means, and an object, and a recording means to record the image data output from the camera and the detection data of each detection means over the in the sky within the surveying area. Then, the aerial image including the reference point is continuously picked up by the camera and recorded in the recording means while flying the article in the predetermined direction. Next, the distance between the reference point and the article is surveyed from the second image pickup start point apart from the first image pickup start point by the predetermined distance, and recorded in the recording means. Then, the aerial image including the reference point is continuously picked up and recorded by the camera while flying the body parallel the flying direction. COPYRIGHT: (C)2006,JPO&NCIPI

Patent
14 Dec 2004
TL;DR: In this paper, a method for post-optical proximity correction (OPC) multi-layer overlay quality inspection includes the steps of generating a virtual target mask for a first mask and a second mask overlay using design rules at least partially defining the relationship between the first masks and the second masks.
Abstract: A method for performing post-optical proximity correction (OPC) multi layer overlay quality inspection includes the steps of generating a virtual target mask for a first mask and a second mask overlay using design rules at least partially defining the relationship between the first mask and the second mask; creating a composite aerial image representing a first mask image formed from the first mask and a second mask image formed by the second mask by performing imaging of the first mask and the second mask and overlaying the second mask image onto the first mask image; generating an overlay image map of the composite aerial image using the design rules at least partially defining the relationship between the first mask and the second mask; and comparing the overlay image map area and the virtual target mask area.

Proceedings Article
25 Jul 2004
TL;DR: This paper proposes and implements several extensions of ADORE addressing its primary limitations that enable the first successful application of this emerging AI technology to a natural image interpretation domain and is shown to be robust with respect to noise in the training data, illumination, and camera angle variations.
Abstract: Automated image interpretation is an important task with numerous applications. Until recently, designing such systems required extensive subject matter and computer vision expertise resulting in poor cross-domain portability and expensive maintenance. Recently, a machine-learned system (ADORE) was successfully applied in an aerial image interpretation domain. Subsequently, it was re-trained for another man-made object recognition task. In this paper we propose and implement several extensions of ADORE addressing its primary limitations. These extensions enable the first successful application of this emerging AI technology to a natural image interpretation domain. The resulting system is shown to be robust with respect to noise in the training data, illumination, and camera angle variations as well as competitively adaptive with respect to novel images.

28 May 2004
TL;DR: In this article, an experimental method to determine the resist parameters that are at the origin of general blurring of the projected aerial image is presented, including the effects of diffusion in the horizontal plane and a second cause for image blur that originates from a stochastic variation of the focus parameter.
Abstract: This study presents an experimental method to determine the resist parameters that are at the origin of a general blurring of the projected aerial image. The resist model includes the effects of diffusion in the horizontal plane and a second cause for image blur that originates from a stochastic variation of the focus parameter. The used mathematical framework is the so-called Extended Nijboer-Zernike (ENZ) theory. The experimental procedure to extract the model parameters is demonstrated for several 193 nm resists under various conditions of post exposure baking temperature and baking time. The advantage of our approach is a clear separation between the optical parameters, such as feature size, projection lens aberrations and the illuminator setting on the one hand and process parameters introducing blur on the other.

Proceedings ArticleDOI
20 Aug 2004
TL;DR: In this paper, a simple geometrical approach is presented to address the shadowing effect in extreme ultraviolet lithography and the effect of shape absorber on the CD shift is analyzed.
Abstract: In Extreme Ultraviolet Lithography, the electromagnetic modeling of the mask allows to determine the influence of the mask structure on the electromagnetic field and on the aerial image. It is very useful to study the effect of the shape absorber on the CD shift. This effect, called shadowing effect, is analyzed in this paper. A simple geometrical approach to address this phenomenon is presented first. It is shown that although it can qualitatively be drawn some first orders conclusions, this over simplified view is unable to explain the complex behavior of the reflected light field. A rigorous method is still the more adapted method to assess the influence of the geometrical parameters of the features on the mask to control the CD shift on the printed resist. This study is especially focused on the absorber edges slope. It is demonstrated the choice of edge angles can minimize CD shift or keep a constant CD width.

Proceedings ArticleDOI
06 Dec 2004
TL;DR: In this article, the authors propose several solutions to these issues involving model-based optimization and placement of fragments and simulation sites, which can be of particular concern with very low-k1 lithography employing hard off-axis illumination, where aerial image "ripples" are a known issue.
Abstract: Current model based OPC software operates under a set of simple guiding principles. First, a design is fragmented into finitely sized segments, the sizes and numbers of which are limited by run-time and mask constraints. Within each fragment the intensity (aerial image) and edge-placement error (EPE) are calculated at a single location. Finally, the length of the entire fragment is moved to correct for the EPE at that location. Although the computation of intensity and EPE are “model based”, the fragmentation and simulation site placement are typically “rules based”. Problems with this methodology can arise whenever the location of the fragments and simulation points are non-optimal. This can be of particular concern with very low-k1 lithography employing hard off-axis illumination, where aerial image “ripples” are a known issue. The authors will propose several solutions to these issues involving model based optimization and placement of fragments and simulation sites.

Proceedings ArticleDOI
28 May 2004
TL;DR: In this article, a more accurate model was proposed that consists of a fixed-width, locally-determined boundary layer of imaginary transmission coefficient added to every edge of the initial "thin mask" approximation.
Abstract: Sub-wavelength lithography places a serious limitation on the conventional "thin mask" approximation of the field immediately behind the patterned mask. This approximation fails to account for the increasingly important topographical effects of the mask or "thick mask" effects. This approximation of the photomask near-fields results from the direct application of Kirchhoff Boundary Conditions, which multiply the incident field by a binary transmission function of the patterned mask. Polarization dependent edge diffraction effects, as well as phase and amplitude transmission errors that arise from the vector nature of light, and the finite thickness of the substrate and chrome layers, produce significant errors in the scalar simulations of the lithographic image. Based on the comparison of aerial images at the wafer plane produced by both rigorous electromagnetic solutions of the field on the mask and their "thin mask" counterparts, a more accurate model is proposed that consists of a fixed-width, locally-determined boundary layer of imaginary transmission coefficient added to every edge of the initial "thin mask" approximation. The accuracy of the resultant Boundary Layer model has been exhaustively tested against rigorously simulated aerial images of isolated as well as periodic features of very different profiles and dimensions. The conclusion being that this simple approach is capable of modeling "thick mask" effects at both 248nm and 193nm wavelength and high NA lithography. This greatly improves the accuracy of aerial image computation in photolithography simulations at a reasonable computational cost.

Proceedings ArticleDOI
27 Sep 2004
TL;DR: It is found that after using histogram specification, the resulting images with the same view look more similar, though the original images gained by different sensors differ much in intensity.
Abstract: Multisensor image registration is a difficult problem In this paper, we give a new registration method using direct histogram specification technique We find that after using histogram specification, the resulting images with the same view look more similar, though the original images gained by different sensors differ much in intensity Based on this property, a novel approach to find matching block pairs is proposed The centers of the block pairs are used as control points (cps) We also use the cluster method of the nearest function criterion to test the correctness of the cps and discard wrong ones The algorithm has been tested by many aerial images of different sensors The effectiveness is illustrated by the experimental results

Journal Article
TL;DR: This paper will discuss a method for picking the optimum numerical aperture and partial coherence for a given mask pattern and the use of annular sources of illumination will be shown to give some improvement in high resolution performance.
Abstract: The advent of flexible steppers, allowing variation in the numerical aperture, partial coherence, and possibly other optical parameters, allows new opportunities for optimization. This paper will discuss a method for picking the optimum numerical aperture and partial coherence for a given mask pattern. The intuitive solution, that the highest possible numerical aperture is the best, is true only when the image is in perfect focus. For moderate amounts of defocus, increasing the numerical aperture may actually decrease the quality of the aerial image resulting in degraded stepper performance. Thus, an optimum numerical aperture and partial coherence will be defined for a given amount of defocus. The use of annular sources of illumination will be shown to give some improvement in high resolution performance.

01 Jan 2004
TL;DR: The telemetry information is used to orthorectify the aerial images, to bring both im-ageries into a common projection space, and then applied to apply the registration technique to achieve accurate alignment.
Abstract: Georegistration is the alignment of an observed image with a geodetically cali-brated reference image. Such alignment allows each observed image pixel to inheritthe coordinates and elevation of the reference pixel it is aligned to. Accurate georeg-istration of video has far-reaching implications for the future of automation. An agent(such as a robot or a UAV), equipped with the ability to precisely assign geodetic co-ordinates to objects or artifacts within its field of view, can be an indispensable toolin applications as diverse as planetary exploration and automated vacuum cleaners.In this chapter, we present an algorithm for the automated registration of aerial videoframes to a wide area reference image. The data typically available in this applicationare the reference imagery, the video imagery and the telemetry information.The reference imagery is usually a wide area, high-resolution ortho-image. Eachpixel in the reference image has a longitude, latitude and elevation associated with it(in the form of a DEM -Digital Elevation Map). Since the reference image is usuallydated by the time it is used for georegistration, it contains significant dissimilaritieswith respect to the aerial video data. The aerial video data is captured from a cam-era mounted on an aircraft. The orientation and position of the camera are recorded,per-frame, in the telemetry information. Since each frame has this telemetry informa-tion associated with it, georegistration would seem to be a trivial task of projecting theimage onto the reference image coordinates. Unfortunately, mechanical noise causesfluctuations in the telemetry measurements, which in turn causes significant projec-tion errors, sometimes up to hundreds of pixels. Thus while the telemetry informationprovides coarse alignment of the video frame, georegistration techniques are requiredto obtain accurate pixel-wise calibration of each aerial image pixel. In this chapter,we use the telemetry information to orthorectify the aerial images, to bring both im-ageries into a common projection space, and then apply our registration technique toachieve accurate alignment. The challenge in georegistration lies in the stark differ-ences between the video and reference data. While the difference of projection view isaccounted for by orthorectification, four types of data distortions are still encountered:(1) Sensor Noise in the form of erroneous Telemetry Data, (2) Lighting and Atmo-spheric Changes, (3) Blurring, (4) Object Changes in the form of forest growths or

Patent
26 Mar 2004
TL;DR: In this article, an aerial image of a portion of a photomask is generated and a simulated image corresponding to original pattern data is also generated; the aerial image and simulated image are then compared and discrepancies are detected as possible defects.
Abstract: The present invention provides a process for performing automatic inspection of advanced design photomasks. In a preferred embodiment, an aerial image of a portion of a photomask is generated. A simulated image corresponding to original pattern data is also generated. The aerial image and simulated image are then compared and discrepancies are detected as possible defects.

Journal ArticleDOI
TL;DR: It is found that the iterative photogrammetric method is the most computationally efficient and can produce precise coordinates for simple surfaces, but it may surfer from the divergence and occlusion-induced problems for complicated ones.

Proceedings ArticleDOI
Franz X. Zach1
28 May 2004
TL;DR: In this paper, a neural network is used to model the resist effects, taking advantage of the nonlinear non local capabilities of such a system, which can be calibrated in a fashion similar to standard fitting routines.
Abstract: Resist modeling based on aerial image parameters is an attractive approach to account for resist effects in optical proximity correction. The goal of this work is to introduce neural networks as a means to tackle this problem. We first discuss some of the issues associated with resist modeling based on a fixed, predetermined set of aerial image parameters such as the maximum aerial image intensity. This methodology is found to encounter difficulties if used in conjunction with resolution enhancement techniques such as sub resolution assist features. More specifically we find that layouts characterized by identical values in the aerial image parameters used for modeling experimentally do not always require the same resist correction. As a result modeling errors are introduced that can only be resolved by searching for additional parameters. We have made an attempt to develop an alternate methodology with higher flexibility within the generic framework of a mapping technique. The model uses aerial images taken at a predefined set of sampling points as input parameters. A neural network is used to model the resist effects, taking advantage of the nonlinear non local capabilities of such a system. Using the well defined training methodologies available for neural networks resist models can be calibrated in a fashion similar to standard fitting routines. We first optimize the structure of the neural network based on simulations data derived from a lumped parameter model. A two- layer, non-linear network is found to provide good modeling capabilities for a wide range of resist conditions as well as real 193 nm resist data.

Patent
Lawrence S. Melvin1
09 Apr 2004
TL;DR: In this paper, an aerial image is sampled and its gradient computed at evaluation points on the 2D feature, which can then be used to predict a feasible shape or curvature for the feature.
Abstract: Complex layout features, especially two-dimensional (2D) features such as jogs and corners, are more susceptible to photo-resist pinching and bridging, even with the use of optical proximity correction. These problems may arise due to unrealistic targets, e.g. square corners, thereby resulting in excessively aggressive correction in the vicinity of these 2D features. To provide a more realistic target, an aerial image can be sampled and its gradient computed at evaluation points on the 2D feature. The aerial image contains spatial information about the local pattern and the interaction of the pattern with the manufacturing process. This information can be used to predict a feasible shape or curvature for the 2D feature. The predicted shape can then be used to retarget the 2D feature based on realistic process capabilities.

Proceedings ArticleDOI
Axel Zibold1, Thomas Scheruebl1, Alexander Menck1, Robert Brunner1, J. Greif1 
02 Jun 2004
TL;DR: In this paper, the AIMS system for 193 nm was brought into operation worldwide successfully, and the beam homogenizer was used to reduce the speckles in the laser beam and ensure a similar illumination uniformity as the longer wavelength systems using an arc source.
Abstract: The Aerial Image Measurement System (AIMS) for 193 nm lithography emulation has been brought into operation worldwide successfully. Adjusting optical equivalent settings to steppers/scanners the AIMS system for 193 nm allows to emulate any type of reticles for 193 nm lithography. The overall system performance is demonstrated by AIMS measurements at 193 nm wavelength on binary chrome masks and phase shift masks. Especially for evaluation of 65 nm node lithography performance process window results will be discussed. An ArF excimer laser is in use for illumination. Therefore a beam homogenizer is needed to reduce the speckles in the laser beam and ensure a similar illumination uniformity as the longer wavelength systems, 248 nm and longer, using an arc source. A new beam homogenizing technique will be presented and illumination results compared to the current solution. The latest results on enhanced illumination uniformity exceed the current performance. A newly developed hybrid objective for high resolution imaging is tested for use of high resolution imaging in order to review defects and investigate repairs which do not print under stepper equivalent optical settings. An outlook will be given for extension of 193 nm aerial imaging down to the 45 nm node. Polarization effects will be discussed.

Patent
17 Aug 2004
TL;DR: Grayscale Optical Proximity Correction (OPC) features are added to a mask pattern by convoluting the device features with a two-dimensional correction kernel or two onedimensional correction kernels to generate grayscale OPC features.
Abstract: Grayscale Optical Proximity Correction device features are added to a mask pattern by convoluting the device features with a two-dimensional correction kernel or two one-dimensional correction kernels to generate grayscale OPC features. The resulting pattern may be used in a projection lithography apparatus having a programmable patterning means that is adapted to generate three or more intensity levels. An iterative process of simulating an aerial image that would be produced by the pattern, comparing the simulation to the desired pattern, and adjusting the OPC features may be used to generate an optimum pattern for projection.

Proceedings ArticleDOI
28 May 2004
TL;DR: In this paper, a more practical and process orientated approach with resist also included in the optimization scheme is presented and pupil fill calculation is based on the actual process metrics such as DOF at certain exposure latitude, mask error enhancement factor (MEEF), mask bias (OPC), and CD uniformity (ACLV).
Abstract: Pattern specific illuminator optimization is a key component in developing low k 1 lithography solutions that utilize off-axis illumination schemes. Aerial image metrics such as NILS (normalized image log slope) have been used in the past to select the optimal illuminator source shape that yields the largest process margin such as DOF. A more practical and process orientated approach is presented in this paper with resist also included in the optimization scheme. Here pupil fill calculation is based on the actual process metrics such as DOF at certain exposure latitude, mask error enhancement factor (MEEF), mask bias (OPC), and CD uniformity (ACLV). A comparison is made with the conventional aerial image based approach. Examples are given to illustrate the advantages of the resist simulation based optimization scheme and its potential application in global process optimization by using a common, universal set of process metrics. This makes it possible to search for the optimal scanner optics settings through simulation techniques over a parameter space with many degrees of freedom, which is difficult to explore simply with limited empirical data collection. As a result, resist based illumination source optimization dramatically reduces the process development cycle, particularly for low k 1 critical patterns.