scispace - formally typeset
Search or ask a question

Showing papers on "Aerial image published in 2005"


Proceedings ArticleDOI
12 May 2005
TL;DR: A new lithography simulation platform (TachyonTM) which achieves orders of magnitude speedup as compared to traditional pure software simulation tools and achieves superior model accuracy than conventional full-chip simulation methods.
Abstract: Lithography simulation is an increasingly important part of semiconductor manufacturing due to the decreasing k1 value. It is not only required in lithography process development, but also in RET design, RET verification, and process latitude analysis, from library cells to full-chip. As the design complexity grows exponentially, pure software based simulation tools running on general-purpose computer clusters are facing increasing challenges in meeting today’s requirements for cycle time, coverage, and modeling accuracy. We have developed a new lithography simulation platform (TachyonTM) which achieves orders of magnitude speedup as compared to traditional pure software simulation tools. The platform combines innovations in all levels of the system: algorithm, software architecture, cluster-level architecture, and proprietary acceleration hardware using application specific integrated circuits. The algorithm approach is based on image processing, fundamentally different from conventional edge-based analysis. The system achieves superior model accuracy than conventional full-chip simulation methods, owing to its ability to handle hundreds of TCC kernels, using either vector or scalar optical model, without impacting throughput. Thus first-principle aerial image simulation at the full-chip level can be carried out within minutes. We will describe the hardware, algorithms and models used in the system and demonstrate its applications of the full chip verification purposes.

103 citations


Journal ArticleDOI
TL;DR: An improved snake model focusing on building detection from gray-level aerial images of high resolution that can converge to the true building contours more quickly and stably from complex environment is proposed.

94 citations


Proceedings ArticleDOI
06 May 2005
TL;DR: In this paper, the MS-13 Microstepper wafer exposure and the RIM-13 reticle imaging microscope are presented for EUV resist testing and technology evaluation at the 32nm node and beyond.
Abstract: Key features are presented of two high-resolution EUV imaging tools: the MS-13 Microstepper wafer exposure and the RIM-13 reticle imaging microscope. The MS-13 has been developed for EUV resist testing and technology evaluation at the 32nm node and beyond, while the RIM-13 is designed for actinic aerial image monitoring of blank and patterned EUV reticles. Details of the design architecture, module layout, major subsystems and performance are presented for both tools.

59 citations


01 Jan 2005
TL;DR: In this article, the authors improved the accuracy of ITC (Individual Tree Crown) approaches based on aerial imagery or high density laser scanning data by introducing a hybrid technique, giving the height of each crown with laser data to image derived crown segment.
Abstract: The objective of this paper is to improve the cost-effectiveness (accuracy of estimates versus applied costs) of present ITC (Individual Tree Crown) approaches which are based on 1) aerial imagery or 2) high density laser scanning data by introducing a hybrid technique (giving the height of each crown with laser data to image derived crown segment) and to compare accuracy of these three approaches It is also studied whether the inability of ITC approach to separate tree crowns in dense forests affect remarkably on volume estimation 78 carefully measured pine trees in 10 plots were used in this study CIR image orthorectified with laser DSM was used as a major data source Laser data were obtained from 400 m and 800 m (above ground level, AGL) acquisitions with Toposys I providing pulse density of approximately 10 and 5 pulses per m 2 Results of current study demonstrated that the height of individual trees is the most important geometrical parameter for stem volume estimation that remote sensing methods can provide Aerial image ITC approach, which has been lately even used in commercial operations, was significantly improved by including the height of tree from the laser data (individual tree stem volume R 2 changed from 014 to 054) This hybrid approach should be further studied as a potential operational forest inventory method, since it may be possible to derive ITC based solution using nationally acquired laser point clouds and already existing CIR imagery giving high quality results at stand level The results indicate that individual tree volumes can be obtained with random error between 25 to 30 % and that volume related to small tree groups an be obtained with random error between 34 to 40 % Both accuracies are relatively good, since the present Scots Pine models based on diameter (and requiring manual measurements for each tree) give accuracy of 17 % The accuracies obtained for individual trees and small tree groups indicate that random error of less than 5 % could be obtained in future at stand level, however, the trees that cannot been seen from the remote sensed data needs first to be estimated by other means This paper also demonstrates that even though remote sensing can not always provide ITC solutions, the stem volume estimates do not deteriorate significantly from this fact since the existing formulas take into account the widening of the tree crowns From practical forestry point of view, it may not be so relevant whether all trees are correctly isolated with segmentation Of course, the correct segmentation stays as a challenging scientific task More emphasis in the future studies should be placed that the size of segmented crown relates to natural crown size, and how the conversion of remote sensing-derived crown size can be converted into stem diameter correctly

56 citations


Patent
Tsuneyuki Hagiwara1
21 Jul 2005
TL;DR: In this paper, the main controller moves a reticle stage in a scanning direction, illuminates an area on reticle including a mark area in which predetermined marks are formed with illumination light, forms an aerial image of at least one mark existing in the mark area via a projection optical system, and measures the aerial image using an aerial measuring unit.
Abstract: A main controller moves a reticle stage in a scanning direction, illuminates an area on a reticle including a mark area in which predetermined marks are formed with illumination light, forms an aerial image of at least one mark existing in the mark area via a projection optical system, and measures the aerial image using an aerial image measuring unit. The main controller repeatedly performs such aerial image measurement while moving the reticle stage in the scanning direction. Then, the main controller computes a scanning image plane on which an image of a pattern formed on a reticle is formed by the projection optical system, based on the measurement result of the aerial image of each mark at each movement position. Based on the computation result, the main controller performs focus leveling control of a wafer during scanning exposure. Thus, highly accurate exposure is realized without using a sensor for reticle (mask) position measurement.

35 citations


Proceedings ArticleDOI
05 Jan 2005
TL;DR: An algorithm to detecting any types of corridors and results on various road images are presented, which consists of 1-D signal matching and robust fitting on the matching result.
Abstract: Real-time detection and localization of a road from an aerial image is an emerging research area that can be applied to vision-based navigation of unmanned air vehicles. Existing real-time and non-real-time road detection algorithms focus on pre-defined road types, and a single algorithm cannot handle a large variety of road types such as dirt roads, local streets, and freeways. An algorithm to detecting any types of corridors is presented. First, a corridor structure is automatically learned at runtime with a single example. The corridor structure is represented as a cross-sectional 1-D signal segment. The learning procedure is to find the maximum correlation of such signals. The real-time detection consists of 1-D signal matching and robust fitting on the matching result. Real-time detection results on various road images are presented

30 citations


Patent
18 Aug 2005
TL;DR: In this paper, a method for transforming an integrated circuit (IC) layout includes recognizing shapes within the IC layout, identifying features for each of the shapes and extracting situations for the respective features.
Abstract: Systems, methodologies and technologies for the analysis and transformation of integrated circuit layouts using situations are disclosed. A method for transforming an integrated circuit (IC) layout includes recognizing shapes within the IC layout, identifying features for each of the shapes and extracting situations for the respective features. Extracted situations can be used to improve optical proximity correction (OPC) of the IC layout. This improved OPC includes extracting the situations, simulating the situations to determine a set of the situations identified for modification based on failing to satisfy a desired OPC tolerance level, modifying the set of situations to improve satisfaction of the desired OPC tolerance level, and reintegrating the modified set of situations into the IC layout. Extracted situations can also be used to improve aerial image simulation of the IC layout. This improved aerial image simulation includes extracting the situations, simulating a subset of the situations to determine aerial images of the subset, and tiling the subset of situations to form a larger aerial image. Extracted situations can further be used to improve density analysis of the IC layout. This improved density analysis includes extracting the situations for a window of the IC layout, removing overlap from the window based on the extracted situations, calculating a density for each of the situations, and calculating a density for the window based on the density for each of the situations.

28 citations


Proceedings ArticleDOI
TL;DR: This paper focuses on the mask (reticle) design problem for 'optical micro-lithography', a process similar to photographic printing used for transferring binary circuit patterns onto silicon wafers, and uses the regularization framework to ensure that the resulting masks are close-to-binary as well as simple and easy to fabricate.
Abstract: In all imaging systems, the underlying process introduces undesirable distortions that cause the output signal to be a warped version of the input When the input to such systems can be controlled, pre-warping techniques can be employed which consist of systematically modifying the input such that it cancels out (or compensates for) the process losses In this paper, we focus on the mask (reticle) design problem for 'optical micro-lithography', a process similar to photographic printing used for transferring binary circuit patterns onto silicon wafers We use a pixel-based mask representation and model the above process as a cascade of convolution (aerial image formation) and thresholding (high-contrast recording) operations The pre-distorted mask is obtained by minimizing the norm of the difference between the 'desired' output image and the 'reproduced' output image We employ the regularization framework to ensure that the resulting masks are close-to-binary as well as simple and easy to fabricate Finally, we provide insight into two additional applications of pre-warping techniques First is 'e-beam lithography', used for fabricating nano-scale structures, and second is 'electronic visual prosthesis' which aims at providing limited vision to the blind by using a prosthetic retinally implanted chip capable of electrically stimulating the retinal neuron cells

27 citations


Book ChapterDOI
19 Jun 2005
TL;DR: The aim of the study was to develop methods for moving vehicle tracking in aerial image sequences taken over urban areas using basic techniques based on spatiotemporal connected components analysis.
Abstract: The aim of the study was to develop methods for moving vehicle tracking in aerial image sequences taken over urban areas. The first image of the sequence was manually registered to a map. Corner points were extracted semi-automatically, then tracked along the sequence, to enable video stabilisation by homography estimation. Moving objects were detected by means of adaptive background subtraction. The vehicles were identified among many stabilisation artifacts and tracked, with a simple tracker based on spatiotemporal connected components analysis. While the techniques used were basic, the results turned out to be encouraging, and several improvements are under scrutiny.

25 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used a Landsat 7 ETM satellite image, a photo mosaic composed of high altitude panchromatic aerial photographs, and a combination of the aforementioned in estimating forest attributes for an area covering approximately 281 000 ha in Forestry Centre Hame-Uusimaa in Southern Finland.
Abstract: Satellite sensor data have traditionally been used in multi-source forest inventory for estimating forest characteristics. Their advantages generally are large geographic coverage and large spectral range. Another remote sensing data source for forest inventories offering a large geographic coverage is high altitude aerial photography. In high altitude aerial photographs the spectral range is very narrow but the spatial resolution is high. This allows the extraction of texture features for forest inventory purposes. In this study we utilized a Landsat 7 ETM satellite image, a photo mosaic composed of high altitude panchromatic aerial photographs, and a combination of the aforementioned in estimating forest attributes for an area covering approximately 281 000 ha in Forestry Centre Hame-Uusimaa in Southern Finland. Sample plots of 9th National Forest Inventory (NFI9) were used as field data. In the estimation, 6 Landsat 7 ETM image channels were used. For aerial photographs, 4 image channels were composed from the spectral averages and texture features. In combining both data sources, 6 Landsat channels and 3 aerial image texture channels were selected for the analysis. The accuracy of forest estimates based on the Landsat image was better than that of estimates based on high altitude aerial photographs. On the other hand, using the combination of Landsat ETM spectral features and textural features on high altitude aerial photographs improved the estimation accuracy of most forest attributes.

24 citations


Proceedings ArticleDOI
Tsuneyuki Hagiwara1, Naoto Kondo1, Irihama Hiroshi1, Kosuke Suzuki1, Nobutaka Magome1 
12 May 2005
TL;DR: In this article, an aerial image based aberration measurement technique that can measure the aberrations up to 37th Zernike polynomial term is presented, which does not require the three-beam interference condition or the two-beam interferences.
Abstract: To maintain the best imaging performance of current high NA DUV scanners, in-situ aberration measurement is becoming more important than ever. In this paper, we present an aerial image based aberration measurement technique that can measure the aberrations up to 37th Zernike polynomial term. Our aberration measurement technique uses aerial image sensor (AIS) on DUV scanners. AIS is a slit scanning type aerial image sensor that can capture the one-dimensional intensity distribution of aerial images. Unlike previous photo resist image based aberration measurement technique, presented technique does not require the three-beam interference condition or the two-beam interference condition because it utilizes the image intensity information. This can eliminates the geometrical restriction in determination of the pupil sampling points. Thus, we made optimization of pupil sampling so that it can minimize the random error propagation in each Zernike coefficients. This optimization was done on a trial and error basis and we observed that the random error propagation significantly depended on pupil sampling plan. The measured aberration was correlated to the programmed aberration induced by lens element displacement. Also the measurement repeatability was evaluated and confirmed. The overall performance of this aberration measurement technique is found to be appropriate for in-situ aberration monitor of current high NA scanners.

Patent
28 Jul 2005
TL;DR: In this article, a change discriminating device is proposed to discriminate the change of an object to be photographed at small distance interval by pixel unit, based on only aerial photos, or regardless of a difference in an illumination condition in photography and a different in a photographing condition.
Abstract: PROBLEM TO BE SOLVED: To provide a change discriminating device capable of discriminating the change of an object to be photographed at small distance interval by pixel unit, based on only aerial photos, or regardless of a difference in an illumination condition in photography and a difference in a photographing condition. SOLUTION: The change discriminating device inputs a plurality of kinds of aerial imagery data at a new time point and an old time point, stereo-matches the inputted aerial image data so as to generate three-dimensional data (DSM data), normalizes the aerial image data and the generated DSM data so as to generate orthophotographic data and orthophotographic DSM data, compares colors with the use of the generated orthophotograph at the new time point with that at the old time point, and compares heights with the use of the generated orthophotographic DSM data at the new time point and that at the old time point so as to discriminate the change of a feature. COPYRIGHT: (C)2007,JPO&INPIT

Proceedings ArticleDOI
20 Jun 2005
TL;DR: A theoretic foundation for aerial imagery object detection using semi-supervised learning algorithms is developed and a context-based object detection methodology is proposed, which demonstrates the great promise of the developed theory and the related detection methodology.
Abstract: Object detection in aerial imagery has been well studied in computer vision for years. However, given the complexity of large variations of the appearance of the object and the background in a typical aerial image, a robust and efficient detection is still considered as an open and challenging problem. In this paper, we have developed a theoretic foundation for aerial imagery object detection using semi-supervised learning algorithms. Based on this theory, we have proposed a context-based object detection methodology. Both theoretic analyses and experimental evaluations have successfully demonstrated the great promise of the developed theory and the related detection methodology.

Proceedings ArticleDOI
12 May 2005
TL;DR: A flexible model based OPC scheme called Matrix OPC is described, which has proven capabilities of resolving double-exposure RET problems and certain advanced RET schemes that require the mutual optimization of features on multiple mask layers.
Abstract: Traditional model based OPC software operates under a set of simple guiding principles. First, a design is fragmented into finitely sized segments, the sizes and numbers of which are limited by run-time and mask constraints. Within each fragment the intensity profile (aerial image) and edge-placement error (EPE) are calculated at a single location. Finally, the length of the entire fragment is moved to correct for the EPE at that location. This scheme has potential limitations in certain cases. For instance, cases where the aerial image contour (and therefore EPE) vary at a higher frequency than the minimum allowed fragmentation frequency. This so-called aerial image ripple problem can challenge the abilities of simple model based OPC. In addition, certain advanced RET schemes require that EPE be controlled in areas that have no adjacent mask polygon. Similarly, certain double-exposure RETs require the mutual optimization of features on multiple mask layers. Our paper will describe a flexible model based OPC scheme called Matrix OPC, which has proven capabilities of resolving these and many other advanced RET problems.

Patent
19 Aug 2005
TL;DR: In this article, the authors proposed a method to automatically generate a notification based on the information related to the subject of interest from an aerial image and associated overlay data, wherein the aerial image is based on aerial photography, satellite data, or a combination of aerial photography and satellite data.
Abstract: Notifying potential consumers of opportunities of interest may include obtaining contact information from a potential consumer of opportunities of interest and obtaining subject of interest information from the potential consumer of opportunities of interest, wherein the subject of interest information is associated with a localized identifiable geographical area. Based on the obtained information, a notification may be automatically generated. The notification may include an aerial image and associated overlay data, wherein the aerial image is based on aerial photography, satellite data, or a combination of aerial photography and satellite data, and wherein the associated overlay data provides information related to the subject of interest.

Proceedings ArticleDOI
06 May 2005
TL;DR: In this article, the impacts of lens aberration and flare to the aerial image at the system level are studied for the 32nm MPU technology node using Intel's aerial image simulation tool.
Abstract: EUV lithographic tools can support the 32 nm MPU manufacturing node and beyond In order to meet the stringent requirements on CD control and overlay for such technology generations, wavefront error and flare of the EUV exposure systems have to be well controlled The cross field variations of wavefront errors and flare need to be in the acceptable range in order to improve the common Depth of Focus (DoF) across the field The impacts of lens aberration and flare to the aerial image at the system level are studied for the 32nm MPU technology node using Intel's aerial image simulation tool The focus control budget of the exposure tools has been estimated Useable Depth of Focus (UDoF) has been defined, and focus margin between UDoF and focus control budget from the exposure tool has been calculated for various cases Focus margin has been used to determine the flare and lens aberration requirements for the 32nm MPU node It is found that <10% intrinsic flare and <075nm rms lens aberration are required for the 32nm MPU node Process window as a measure of individual aberration terms for the 32nm node has been also investigated

Proceedings ArticleDOI
06 May 2005
TL;DR: In this paper, a theoretical analysis of diffracted light shows that the asymmetry tends to occur when diffracted rays of the 2nd order or higher pass through the pupil and are involved in creating the image on a wafer.
Abstract: In EUV lithography, off-axis incident light on a mask can produce a printed image that is asymmetrical with respect to its center, even when a line and space pattern is perfectly symmetrical on the mask. A theoretical analysis of diffracted light shows that the asymmetry tends to occur, when diffracted rays of the 2nd order or higher pass through the pupil and are involved in creating the image on a wafer. In contrast, when only 0th- and 1st-order diffracted rays pass through the pupil, the printed image is always symmetrical regardless of any asymmetry in their amplitude and/or phase. In order to discover the causes of the asymmetry in aerial images, we used line-and-space line patterns with a pitch of 88 nm on a wafer, because this pattern pitch generates 0th-, 1st- and 2nd-order rays for the optical conditions of an NA of 0.25 and a σ of 0.80. Under these conditions, a thicker Ta absorber (107 nm) produces greater asymmetry than a thinner one (64 nm), especially when the target linewidth is around 44 nm (on wafer). An analysis of diffracted light and the combinations of diffraction orders involved in creating an aerial image reveals that asymmetry in a printed image is caused by 2nd-order diffracted rays.

Proceedings ArticleDOI
17 Oct 2005
TL;DR: The enhanced semi-supervised learning (ESL) framework is presented and applied to revising an object detection methodology and theoretic analysis and experimental evaluation clearly indicate the superiority of the ESL framework.
Abstract: Object detection in aerial imagery has been well studied in computer vision for years. However, given the complexity of large variations of the appearance of the object and the background in a typical aerial image, a robust and efficient detection is still considered as an open and challenging problem. In this paper, we present the enhanced semi-supervised learning (ESL) framework and apply this framework to revising an object detection methodology we have developed in a previous effort. Theoretic analysis and experimental evaluation using the UCI machine learning repository clearly indicate the superiority of the ESL framework. The performance evaluations of the revised object detection methodology against the original one clearly demonstrate the promise and superiority of this approach

Journal Article
TL;DR: Based on summarizing previous technique of information extraction from high resolution image (aerial image), this paper analyses the superiority of remote sensing image process and analysis based on parcel unit in theory and in practice.
Abstract: High resolution remote sensing image has some characteristics such as tremendous data,complex feature details and dependence on scale,so some new technical difficulty is faced to researchers on remote sensing.Based on summarizing previous technique of information extraction from high resolution image (aerial image),this paper analyses the superiority of remote sensing image process and analysis based on parcel unit in theory and in practice.Furthermore,a multi-scale technique for information extraction and target recognition from high resolution remote sensing image based on feature unit is brought forth.In the end,this paper explains the technique frame and points out the actual research difficulties and emphasis in the future.

Proceedings ArticleDOI
TL;DR: A new image texture classification method of aerial images, PCA-NBC, which combines the Principal Components Analysis (PCA) and Naive Bayes Classifier (NBC) and can cut down the number of features and computational costs and improve the accuracy during classification.
Abstract: Bayesian Networks have emerged in recent years as a powerful data mining technique for handling uncertainty in complex domains. The Bayesian Network represents the joint probability distribution and domain (or expert) knowledge in a compact way and provides a comprehensive method of representing relationships and influences among nodes (variables) with a graphical diagram. Actually, however, in the classification domain it was not paid attention to by researchers until the simplest of form of Bayesian Networks, Naive Bayes Classifier, turned up. Naive Bayes Classifier is a simple and efficient probability classification method, and has shown surprising performance in some domains, which owes to the independence assumption that makes Naive Bayes Classifier fit the classification more easily. However, the independence assumption obviously does not hold in the real world. Therefore, in order to meet the "naive" (unreal) assumption, this paper proposes a new image texture classification method of aerial images, PCA-NBC, which combines the Principal Components Analysis (PCA) and Naive Bayes Classifier (NBC). The PCA transforms the highly correlated features into statistically independent and orthogonal "features", so it is suitable to solve that problem and can lay a solid theoretic foundation in the application. One hundred and thirteen aerial images are used to evaluate the classification performance in the experiment. The experimental results demonstrate that the proposed method can cut down the number of features and computational costs and improve the accuracy during classification. In one word, the new method, PCA-NBC, is an attractive and effective method, which outperforms the Naive Bayes Classifier.

Journal ArticleDOI
TL;DR: Detailed studies show that a combination of thresholds for the maximum correlation coefficient, the average mutual information, and distance can reduce the number of blunders in the automated measurements considerably and should therefore be applied.
Abstract: The checking of the geometric accuracy of orthoimages and digital elevation models (DEMS) is discussed. As a reference, an existing orthoimage and a second orthoimage derived from an overlapping aerial image, are used. The proposed automated procedures for checking the orthoimages and DEMs are based on matching of corresponding image patches. Existing topographic databases are additionally used in order to select time-invariant objects as checkpoints. In order to avoid blunders in the correlation, thresholds for similarity measures are applied. Detailed studies show that a combination of thresholds for the maximum correlation coefficient, the average mutual information, and distance can reduce the number of blunders in the automated measurements considerably and should therefore be applied. The calculation of DEM errors is carried out by an improved formula. The new methods and procedures are applied to two practical examples, and the results are presented.

Proceedings ArticleDOI
W. C. Huang1, C. M. Lai1, B. Luo1, C. K. Tsai1, Cherng-Shyan Tsay1, C. W. Lai1, C. C. Kuo1, Ru-Gun Liu1, H. T. Lin1, B. J. Lin1 
12 May 2005
TL;DR: In this paper, an artificial-intelligent optimization method was used to regress the parameters of the lithographic models for OPC, and the implemented phenomenological models were constant-threshold models that combine diffused aerial image models with loading effects.
Abstract: Optical proximity correction (OPC) is usually used to pre-distort mask layouts to make the printed patterns as close to the desired shapes as possible. For model-based OPC, a lithographic model to predict critical dimensions after lithographic processing is needed. The model is usually obtained via a regression of parameters based on experimental data containing optical proximity effects. When the parameters involve a mix of the continuous (optical and resist models) and the discrete (kernel numbers) sets, the traditional numerical optimization method may have difficulty handling model fitting. In this study, an artificial-intelligent optimization method was used to regress the parameters of the lithographic models for OPC. The implemented phenomenological models were constant-threshold models that combine diffused aerial image models with loading effects. Optical kernels decomposed from Hopkin’s equation were used to calculate aerial images on the wafer. Similarly, the numbers of optical kernels were treated as regression parameters. This way, good regression results were obtained with different sets of optical proximity effect data.

Proceedings ArticleDOI
Axel Zibold1, Rainer Schmid1, Klaus Böhm1, Robert Brunner1, Arndt C. Dürr 
16 Jun 2005
TL;DR: The AIMS fab 193 tool as discussed by the authors is an aerial image measurement system for ArF-lithography emulation and is in operation worldwide, it can emulate lithographic exposure tools for any type of reticles such as binary masks, OPC and phase shift structures, down to the 65nm node.
Abstract: The "AIMS fab 193" tool is an aerial image measurement system for ArF-lithography emulation and is in operation worldwide. By adjustment of numerical aperture, illumination type and partial coherence parameter to match the conditions in 193nm steppers or scanners, it can emulate lithographic exposure tools for any type of reticles such as binary masks, OPC and phase shift structures, down to the 65nm node. The AIMSTM fab 193 allows the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks without the need to prepare real wafer prints using the stepper or scanner. Recently, a high resolution mode has been introduced based on a sophisticated microscope objective, characterized by a high numerical aperture (NA) and large working distance that allows working with pellicle mounted mask. With this lens system a high contrast image with resolution down to 150 nm lines and spaces (L/S) on mask has been demonstrated. In addition to the AIMSTM through-focus mode for printability which is optically equivalent to the latent image in the photo resist of a wafer, the high resolution mode allows the imaging of mask structures in focus and at printing wavelength to review defects or repairs. Such viewing capability is also helpful at the binary stage of a first writing step in the mask manufacturing process. In this work we will present application results for defects and critical features using both, aerial imaging and high resolution mode.© (2005) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Proceedings ArticleDOI
27 Jan 2005
TL;DR: In this paper, the authors proposed to measure reticles on critical points as defined by simulation or areas of concern for manufacture with the AIMS system to analyze defect printability and mask manufacturability.
Abstract: The Aerial Image Measurement System (AIMS) for 193nm lithography emulation is established as a standard for the rapid prediction of wafer printability of critical features, such as dense patterns or contacts, defects or repairs on masks. The benefit of AIMS is to save expensive image qualification consisting of test wafer exposures followed by wafer SEM measurements. By adjustment of numerical aperture, illumination type and partial coherence to match the stepper or scanner, AIMS predicts the printability of any 193nm reticle like binary, OPC and PSM. The newly available 193nm 2nd generation AIMS fab systems are able to emulate numerical apertures (NA) up to 0.92 and provide a capability down to 65nm node regardless of the use of an immersion liquid or dry conditions. Rigorous simulation studies have been performed to study the matching of AIMS and scanner results at NA = 0.92 and to study the extension of the AIMS technique for immersion lithography emulation of hyper NA up to at least 1.4. Strong polarization effects depending on mask patterns and material as well as imaging effects will occur below the 65nm node. It will be shown that using the polarization capabilities of such a future immersion AIMS tool will provide a very suitable immersion lithography emulator. Together with low k1 values and polarization effects, 193nm mask design and manufacturing will face increased challenges for design and OPC placement at the 65nm node and below. Aerial image measurements of test masks using AIMS will then be crucial to speed up mask development. We propose to measure reticles on critical points as defined by simulation or areas of concern for manufacture with the AIMS system to analyze defect printability and mask manufacturability.

Proceedings ArticleDOI
27 Jan 2005
TL;DR: This paper improves the measurement accuracy of the above technique with an alternating phase shifting mask, and calculates the coma-induced image displacements of the marks at multiple partial coherence and NA settings, using the PROLITH simulation program.
Abstract: As feature size decreases, especially with the use of resolution enhancement technique such as off axis illumination and phase shifting mask, fast and accurate in-situ measurement of coma has become very important in improving the performance of modern lithographic tools. The measurement of coma can be achieved by the transmission image sensor, which is an aerial image measurement device. The coma can be determined by measuring the positions of the aerial image at multiple illumination settings. In the present paper, we improve the measurement accuracy of the above technique with an alternating phase shifting mask. Using the scalar diffraction theory, we analyze the effect of coma on the aerial image. To analyze the effect of the alternating phase shifting mask, we compare the pupil filling of the mark used in the above technique with that of the phase-shifted mark used in the new technique. We calculate the coma-induced image displacements of the marks at multiple partial coherence and NA settings, using the PROLITH simulation program. The simulation results show that the accuracy of coma measurement can increase approximately 20 percent using the alternating phase shifting mask.

Proceedings ArticleDOI
28 Jun 2005
TL;DR: This paper reduces the optical resolution, such that it can filter out the array pattern and study the resulting defect image, and allows using a simple threshold detector to find and classify defects.
Abstract: For leading mask technologies the mask inspection for finding critical defects is always a difficult task. With the introduction of chrome-less, high-transmission and alternating mask types, new absorber material and the possibility of quartz defects the defect inspection and -classification becomes even more challenging. To decide whether a defect is critical or a repair is successful, the Zeiss AIMS tool is used to classify defects. For conventional imaging the optical settings are usually chosen such that resolution is maximized, for example a dipole illumination is used for imaging a dense line-space array at an optimum contrast. In this paper we will do the opposite and reduce the optical resolution, such that we can filter out the array pattern and study the resulting defect image. This technique allows using a simple threshold detector to find and classify defects.

Proceedings ArticleDOI
12 May 2005
TL;DR: In this paper, various pupil fill measurement techniques are evaluated to monitor non-telecentricity of an illuminator as followings: transmission image sensor (TIS), source metrology instrument (SMI), Fresnel zone plate (FZP), and traditional overlay marks, which is based on an idea that pattern shift is proportional to the amount of defocus.
Abstract: Various pupil-fill measurement techniques are evaluated to monitor non-telecentricity of an illuminator as followings: transmission image sensor (TIS) of ASML, source metrology instrument (SMI) of Litel, Fresnel zone plate (FZP) of Philips, and non-telecentricity measurement technique using traditional overlay marks, which is based on an idea that pattern shift is proportional to the amount of defocus. Based on aerial image simulation with measured non-telecentricity, its effect on sub-70 nm device patterning is discussed. Experimental data shows that some of pupil-fills appear more than 70 milli-radian of source displacement error and it may cause serious pattern shift and/or asymmetry. Detailed descriptions of measurement techniques and experimental results are presented.

01 Jan 2005
TL;DR: In this paper, a parametric model for automatic 3D reconstruction of urban areas from high-resolution satellite images is presented, which is based on the definition of a density h(.) which contains both a data term and a prior knowledge on the buildings.
Abstract: In this paper, we present a parametric model for automatic 3D reconstruction of urban areas from high resolution satellite images. For the last decade, the automatic 3D reconstruction of urban areas has become a topic of interest. Faced with the urbanization development, the 3D-models with connected planar facets are used in various applications such as the computing of electromagnetic wave propagation or the creation of virtual realities. Several automatic methods giving satisfactory results, such as perceptual organization [Nevatia et al.,02], parametric models [Weidner et al.,95] or polyhedral approach [Taillandier et al., 04] [Baillard et al., 00] , have been developed using aerial images. Nowadays, this problem is tackled by another kind of data : the sub-metric satellite images. The main advantages of satellite data compared to aerial images are a high swath width and ground coverage. However, such data have a "relatively low" resolution and a "low" signal to noise ratio to deal with 3D reconstruction problems. For example, the aerial data used in [Baillard et al., 00] have a density of 140 pixels per square meter contrary to the density of our satellite data which is only 4 pixels per square meter. Those drawbacks do not allow to robustly use standard methods developed for the aerial image case. The main alternative consists in proposing new methods based on an important prior knowledge concerning urban structures. An automatic building extraction technique [Ortner et al.,03] [Ortner et al.,06] based on marked point processes is used to provide rectangular building footprints. It consists in extracting the building outlines through a configuration of rectangles from Digital Elevation Models (DEM) which are altimetric descriptions of urban areas. Figure 1 shows the result using a DEM provided from multiple stereo pairs (3-views) of PLEIADES simulations (0.5 meter resolution - B/H=0.2) by the French Geographic Institute (IGN) and computed by an algorithm based on [Roy et al., 98]. Our goal is then to construct a 3D city model from the DEM and associated rectangular building footprints. To do so, a structural approach, presented in [Fuchs et al., 00], is extended : buildings are constructed by merging simple urban structures. The last ones are defined through a 3D parametric model with rectangular ground footprint presented in figure 2. Such an approach is preferred since it is less complex, more robust to satellite data and better adapted to rectangular building footprints. Using a Bayesian framework, the method is based on the definition of a density h(.) which contains both a data term and a prior knowledge on the buildings. The data term fits the models to the DEM : it is linked to the Z-error of the L 1 norm between the DEM and the 3D parametric modeling. The L 1 norm is preferred to the L 2 norm since the DEM is neither exact nor accurate. The prior term takes into account the interactions existing between neighboring models. The interactions between objects are defined thanks to a neighborhood relationship. The last one allows to consider the problem from a structural point of view by merging models (i.e. by considering a building as an association of rectangles instead of seeing it as a unique rectangle). The prior density derives from three Gibbs energies. The first one favors models which have symmetric roofs (see section 3.3.1). The second energy is an interaction which favors the getter of roof height alignment between neighboring rectangles (see section 3.3.2). The last one favors roof top linking up between neighboring buildings while respecting the possibility of roof top discontinuity (see section 3.3.3). Those three terms are weighted by parameters which are chosen by trial and error. We search for the configuration which maximizes the density h(.) (i.e. the Maximum A Posteriori estimator). This is a non convex optimization problem in a very high dimension space.

Journal ArticleDOI
TL;DR: It is shown how MPEG-7 descriptors can be associated with aerial images and their derived features at each stage of the image insertion process, producing a multifaceted integrated representation, which can be used to give the database administrator an indication as to when this representation should be rebuilt, so as to result in improved retrieval behavior.
Abstract: We examine the efficacy of using MPEG-7 in a native XML database environment for managing aerial image data. This application domain exhibits unique challenges in the management of image data which is continually changing, requiring continuous update of the schemas and methods for mining various geographic region properties. We show how MPEG-7 descriptors can be associated with aerial images and their derived features at each stage of the image insertion process, producing a multifaceted integrated representation, which can be used to give the database administrator an indication as to when this representation should be rebuilt, so as to result in improved retrieval behavior. In the absence of specialized indexes for inexact descriptor matching, we also show how MPEG-7 descriptors can be used to speed up this process.

Proceedings ArticleDOI
12 May 2005
TL;DR: In this article, a multiband polarized CCD camera (EMPCC) has been built in a laboratory to obtain the polarimetric imaging information synchronously and the multispectral data subsequently.
Abstract: Multiband optical polarization measurement can provide the state of polarization and the intensity information of natural scenes. Gradually this technique is practically applied in remote sensing e.g. monitoring of the atmosphere land and environment. Recently an experimental multiband polarized CCD camera (EMPCC) has been built in our laboratory. It can obtain the polarimetric imaging information synchronously and the multispectral data subsequently. We analyze the characteristic of some polarimetric images which were acquired from aerial platform at 3000m high. These multiband polarization images of natural surfaces include soil vegetation water and a variety of man-made objects. We obtain the parameters of degree of linear polarization (DOLP) angle of polarization (AOP) and intensity of the targets by using Stokes parameters. The Stokes parameters can be derived from measured radiant images at three polarization directions. The results indicate that the characteristics of different targets can be discriminated on the basis of polarization images associated with intensity ones. The properties of targets could be enhanced to some extent when we use the DOLP of the aerial image to characterize the natural surfaces. Among these characteristics the shape edge texture and material could be emphasized. These afford a convenience in interpreting the polarization information.