scispace - formally typeset
Search or ask a question

Showing papers on "Annealing (metallurgy) published in 1983"



Journal ArticleDOI
TL;DR: Ferroelectric films of lead zirconate titanate (PZT) have been fabricated by rf planar magnetron sputtering as mentioned in this paper, and the sputtering rate is in the range 0.2-1.0 μm/h and varies with sputtering pressure and substrate temperature.
Abstract: Ferroelectric films of lead zirconate titanate (PZT) have been fabricated by rf planar magnetron sputtering. Films having a resistivity >106 Ω cm and a dielectric constant >800 at 300 K have been achieved using 100% oxygen as a sputtering medium, 10% excess PbO added to the target, and appropriate post‐deposition annealing. The sputtering rate is in the range 0.2–1.0 μm/h and varies with sputtering pressure and substrate temperature. Post‐deposition annealing affects both crystallinity and grain size, but incipient crystallization formed only in as‐grown films deposited at substrate temperatures >400 °C is essential for this process to be effective. A clear ferroelectric transition is observed at 350 °C, while the activation energy for dc conductivity of around 0.8 eV is consistent with bulk properties of PZT. The spontaneous polarization and coercive field measured from ferroelectric hysteresis loops is 20.75 μC/cm2 and 10 kV/cm, respectively. The optical transmittance of the films is determined by a Pb–Pb charge transfer band near 400 nm and this is a sensitive test of film stoichiometry.

208 citations


Journal ArticleDOI
TL;DR: In this paper, the authors used secondary ion mass spectrometry (SIMS) and carrier profiles, measured by differential capacitancevoltage (C‐V) profiling, of boron and fluorine implanted as B, F, BF, or BF2 ions into random and channeling orientations of crystalline silicon, and into silicon amorphized by silicon ion implantation.
Abstract: Depth distributions, measured by secondary ion mass spectrometry (SIMS), and carrier profiles, measured by differential capacitance‐voltage (C‐V) profiling, of boron and fluorine implanted as B, F, BF, or BF2 ions into random and channeling orientations of crystalline silicon, and into silicon amorphized by silicon ion implantation are reported. Low boron energies of 8 and 10 keV and the corresponding energies of 36 and 45 keV for BF2 ions are emphasized because of their use for high resolution device and circuit applications in silicon and silicon‐on‐sapphire. Amorphizing crystalline silicon prior to boron implantation eliminates the significant channeling tails on 8‐ or 10‐keV boron profiles. Fluorine penetrates more deeply into crystalline silicon than boron does. Both boron and fluorine redistribute during annealing at 925 °C/20 min for B, F, BF, or BF2 implants, but with quite different characteristics as illustrated, and depend on the implantation fluence (5×1014 and 2×1015 cm−2 reported here). The fluorine redistribution profiles are strongly influenced by the magnitude and distribution of damage that remains after annealing. Fair agreement is shown between boron atom depth distributions measured by SIMS and C‐V electrical profiles measured for a fluence of 1.5×1012 cm−2. Differential C‐V profiles indicate that the entire ion spectrum from BF3 can be implanted and electrically activated (for a fluence of 1.5×1012 cm−2), as can a BF2 implant. Implantation through a 20‐nm layer of SiO2 has no significant effect on the boron depth distribution in crystalline silicon. Pearson IV moments are given for the low energy boron profiles. The use of these profiles for modeling calculations is discussed. The suprem model of an exponential for the channeling tail of boron implants in crystalline silicon is fairly good for fluences greater than about 1015 cm−2, but poorer for lower fluences, but the slope and matching to the random portion of the profiles are difficult to predict. In order for modeling calculations to reasonably represent boron profiles, either the silicon substrate should be amorphized prior to boron implantation, or the modeling should be modified to use experimental data measured for the implant and silicon conditions.

165 citations


Journal ArticleDOI
TL;DR: In this article, the authors used hydrogen evolution and annealing experiments to investigate the incorporation of hydrogen in the amorphous network and the mechanism of its release, showing that hydrogen appears to be bonded mainly at positions breaking Si-Si bonds so that at its release these bonds are reconstructed.
Abstract: Hydrogen evolution and annealing experiments are used to investigate the incorporation of hydrogen in the amorphous network and the mechanism of its release. For a hydrogen content 20 at.% leads to the formation of a void-rich material. In both cases hydrogen appears to be bonded mainly at positions breaking SiSi bonds so that at its release these bonds are reconstructed. The evolution and annealing behavior is strongly modified if additional structural defects are present, for instance due to boron doping.

144 citations


Journal ArticleDOI
TL;DR: In this paper, the annealing processes are grouped according to the time durations of anneal and with reference to the thermal response time of the silicon, and designated as adiabatic for 10−2 sec.
Abstract: Short time annealing has recently become of interest in silicon processing as a technique to activate ion implanted dopants, remove defects, and regrow amorphized silicon, with minimal diffusion of the dopant atoms. Short time annealing is carried out using a variety of energy sources ranging from arc lamps and resistance heaters with heating times of a few tens of seconds, to laser, electron, and ion sources with heating times of a few milliseconds down to nanoseconds. The annealing processes are grouped according to the time durations of the anneal and with reference to the thermal response time of the silicon. These are designated as adiabatic for 10−2 sec. Processes in the adiabatic regime result in surface melting, regrowth of silicon free of extended defects, and complete dopant activation. However, the dopant diffuses throughout the melt zone. In the thermal flux and isothermal annealing regimes the dopant can be activated, and amorphous silicon regrown epitaxially with little dopant diffusion. In the limited results reported to date, the complete removal of extended defects has not been achieved. Further investigation may yield new results in extended defect removal.

142 citations


Journal ArticleDOI
TL;DR: The magnetic properties of RF sputtered Co-Pt alloy thin films were studied as a function of Pt content from 0 to 80 at%. At room temperature, ferromagnetic films were obtained in the range 0-32 and 40-80 at% Pt; discontinuities in the magnetization, magnetostriction and coercivity versus Pt content were observed; however no discontinuity was observed in the resistivity as mentioned in this paper.
Abstract: The magnetic properties of RF sputtered Co-Pt alloy thin films were studied as a function of Pt content from 0 to 80 at%. At room temperature, ferromagnetic films were obtained in the range 0-32 and 40-80 at% Pt. For Pt contents between 32 and 40 at%, discontinuities in the magnetization, magnetostriction, and coercivity versus Pt content were observed; however no discontinuity was observed in the resistivity. The structure of films containing about 25 at% Pt is a mixture of hexagonal and face-centered cubic (FCC) phases. At this composition the magnetostriction is small, but coercivities are large-700 to 2000 Oe-and dependent upon film thickness. The coercivities of these films do not change with heat treatment up to temperatures of 600°C but decrease markedly at 700°C. The properties of equiatomic Co-Pt film s are similar to those of bulk alloys. In particular the large coercivity observed in films after heal treatment at 500° to 700°C is due to the formation of an ordered tetragonal phase within the face-centered cubic matrix. The structure of films of about 75 at% Pt is initially a disordered face-centered cubic phase and with heat treatment beginning at 500°C an ordered face-centered cubic phase forms. The coercivity of these films (∼200 Oe) does not change with annealing at 500°C. It decreases slightly upon further annealing at 600°C to 700°C. Electron microscope observations were used to correlate the magnetic properties with film structure.

139 citations


Journal ArticleDOI
TL;DR: In this article, the authors used an adaptation of an algorithm due to Moynihan using parameter values determined from an analysis of the glass transition kinetics of a single thermal history.
Abstract: The relaxation component of the heat capacity at constant heating rate (10 K min -1) of a polydisperse polystyrelie as a function of previous cooling rate, annealing time, and annealing temperature is accurately predicted by an adaptation of an algorithm due to Moynihan using parameter values determined from an analysis of the glass transition kinetics of a single thermal history. For this material, the annealing behavior below Tg is determined by the same kinetic parameters that describe the glass transition phenomenon. A similar analysis of published data for a monodisperse polystyrene indicates that the monodisperse material has a broader distribution of relaxation times and is more nonlinear. The parameter optimization method used appears to be sufficiently sensitive to permit routine characterization of the enthalpy relaxation of amorphous materials.

137 citations


Journal ArticleDOI
TL;DR: It is demonstrated the possibility of controlling, practically independently, the form and indices of proton-exchanged lithium niobate guides by means of guide annealing and proton exchange in lithium-rich solutions.
Abstract: We demonstrate the possibility of controlling, practically independently, the form and indices of proton-exchanged lithium niobate guides by means of guide annealing and proton exchange in lithium-rich solutions. Experimental results are presented that indicate how one can realize specific guide designs.

132 citations


Journal ArticleDOI
TL;DR: In this article, a cross-over composition is interpreted as the average relative concentration of each component over the diffusion zone, where the individual concentration profiles intersect at a common crossover composition where the relative concentrations of all components are identical.
Abstract: Concentration profiles developed during isothermal, multicomponent diffusion for a single-phase, solid-solid diffusion couple are expressed on the basis of a relative concentration variable for each component and analyzed for the determination of interdiffusion fluxes. The individual concentration profiles intersect at a common cross-over composition where the relative concentrations of all components are identical. New relations are developed for describing internal consistency among the concentration profiles of the various components. A link is made between the cross-over composition and the depths of the diffusion zone on either side of the Matano plane for a diffusion couple. The cross-over composition is interpreted as the average relative concentration of each component over the diffusion zone. The identification of a zero-flux plane from concentration profiles is also described. The analysis offers several advantages in presenting as well as checking the self-consistency of results as illustrated with a single phase Cu-Ni-Zn diffusion couple annealed at 775 °C.

126 citations


Journal ArticleDOI
TL;DR: In this paper, the spectral dependence of the bleaching light required to convert the 500-nm band to the 760nm band was determined, and it was shown that optical bleaching near 77 K destroys the band at 500 nm and produces both a band at 760 nm and a Nb4+ electron spin resonance spectrum.
Abstract: Optical absorption peaks at 760 and 500 nm in LiNbO3 are assigned to oxygen vacancies containing one and two electrons, respectively. The 500‐nm band appears after annealing above approximately 500 °C in a vacuum, i.e., a reducing atmosphere, and continues to grow with increasing anneal temperature. Optical bleaching near 77 K destroys the band at 500 nm and produces both a band at 760 nm and a Nb4+ electron spin resonance spectrum. The spectral dependence of the bleaching light required to convert the 500‐nm band to the 760‐nm band is determined.

122 citations


Journal ArticleDOI
TL;DR: In this paper, the authors observed a unique damage structure, which forms within the amorphous phase, in ion-implanted Ge above a certain ion dose, which represents a drastic alteration of the near surface morphology, is responsible for the adsorption of large quantities of C and O onto the surface of the implanted area.
Abstract: We have observed a unique damage structure, which forms within the amorphous phase, in ion‐implanted Ge above a certain ion dose. This structure, which represents a drastic alteration of the near‐surface morphology, is responsible for the adsorption of large quantities of C and O onto the surface of the implanted area. Results are presented of a systematic study of this effect and possible mechanisms for its information are discussed. Ion implantation conditions desirable for device applications are established and deleterious effects due to the presence of this damage upon both solid‐ and liquid‐phase epitaxial growth of the implanted layers are discussed.

Journal ArticleDOI
TL;DR: In this article, the effects of radiation on silicon dioxide films, before and after ammonia annealing (nitridation), have been studied and the most striking result is that the generation of radiation-induced interface states is nearly eliminated in the nitrided oxides.
Abstract: Electron radiation effects on silicon dioxide films, before and after ammonia annealing (nitridation), have been studied. The most striking result is that the generation of radiation-induced interface states is nearly eliminated in the nitrided oxides.

Journal ArticleDOI
TL;DR: Theoretical results on the classical electromagnetic enhancement of the Raman cross section within the pores as well as a survey of published surfaceenhanced Raman-scattering data lead the authors to conclude that the surface-enhanced active sites of coldly deposited Ag films are within the porous pores as mentioned in this paper.
Abstract: Evidence is presented that coldly deposited Ag films are highly porous and that the pores persist up to 170 K but are heated out at 250 K. Diffusion of adsorbed pyridine into the pores is negligible below 60 K but readily takes place at 130 K. Theoretical results on the classical electromagnetic enhancement of the Raman cross section within the pores as well as a survey of published surface-enhanced Raman-scattering data lead the authors to conclude that the surface-enhanced Raman-scattering active sites of coldly deposited Ag films are within the pores.

Journal ArticleDOI
TL;DR: In this paper, the authors measured compressive stresses in polycrystalline and amorphous silicon thin films deposited on oxidized silicon wafers by the lengthening of the undercut edge of a silicon stripe.
Abstract: Stress in polycrystalline and amorphous silicon thin films deposited on oxidized silicon wafers is determined from the lengthening of the undercut edge of a silicon stripe. The technique measures only compressive stresses, has a stress resolution of 108 dyn/cm2, and a spatial resolution on the wafer of 250 μm. Unannealed silicon thin films deposited on oxide are under high compressive stress (1010 dyn/cm2). This stress is reduced below the resolution by annealing at 1100 °C for 20 min in N2, except for the thinnest polycrystalline silicon films studied (230 nm thick).

Journal ArticleDOI
TL;DR: In this article, surface damage in Si substrates created by Ar ion milling or by reactive ion etching in CF4, CHF3, Cl2, SiCl4, or SiF4 has been investigated.
Abstract: Surface damage in Si substrates created by Ar‐ion milling or by reactive‐ion etching in CF4, CHF3, Cl2, SiCl4, or SiF4 has been investigated. Metal‐oxide‐semiconductor capacitors were fabricated on the etched Si substrates, and the interface‐state densities were obtained from capacitance‐voltage measurements. Interface states generated by the dry etching processes were strongly dependent on the etching gas and the bias voltage. Carbon‐based gases (CF4, CHF3) induced more interface states than those without carbon. For the carbon‐based gases, Si samples etched in CHF3 showed lower densities of interface states than samples etched in CF4 under the same conditions. Generation lifetime measurements indicated that samples with large densities of interface states also had short lifetimes. Measurements of oxidation‐induced stacking faults caused by dry etching were consistent with both the interface‐state and lifetime measurements. Thermal annealing of the etched wafers was not effective in reducing the surface ...

Journal ArticleDOI
TL;DR: In this paper, low-pressure chemical vapor deposition of tungsten silicide has been done and the properties of the deposited films have been studied to determine the process compatibility and suitability to form gate electrodes and interconnections in MOS VLSI applications.
Abstract: Low-pressure chemical vapor deposition of tungsten silicide has been done and the properties of the deposited films have been studied to determine the process compatibility and suitability to form gate electrodes and interconnections in MOS VLSI applications. The silicide was deposited on single-crystal silicon and on oxidized silicon with and without a coating of polycrystalline silicon film. Auger analysis of the As-deposited films showed absence of any contaminants in it. X-ray diffraction and transmission electron microscopy showed that As-deposited films were microcrystalline with grains smaller than 30 A and upon annealing became polycrystalline WSi 2 with hexagonal structure at 500°C and tetragonal structure at or above 600°C with a corresponding decrease in resistivity from 600-900 µΩ . cm to 35-60 µΩ . cm depending upon anneal temperature and time. No appreciable change in the thickness of the silicide was found during the high-temperature anneals. Silicon-rich silicide films remained stable, smooth, and free of cracks through high-temperature anneals and oxidations, and their adherence to the wafer remained excellent. On the other hand, metal-rich films had overall inferior properties. Thermal oxidation of WSi 2 on polysilicon in dry oxygen in the temperature range of 900 to 1100°C was found to be similar to that of silicon except the linear regime of oxidation was extremely rapid and the entire process could be modeled by a parabolic equation X^{2) = Bt with an activation energy of 1.7 eV. MOS capacitors were fabricated with silicide and polycide gate electrodes. Polysilicon thickness variation from 0 to 5000 A had no adverse effect on the electrical characteristics or mechanical integrity of the devices. In all cases, low values of N f (1 × 1010-7 × 1010cm-2) and N it ( \sime 8 MV/cm) were obtained.

Journal ArticleDOI
TL;DR: In this paper, the effects of ion implantation and post-implantation thermal annealing of α-Al2O3 have been characterized using ion scattering channeling techniques, and correlated with electron paramagnetic resonance (EPR) and microhardness measurements.
Abstract: The effects of ion implantation and post‐implantation thermal annealing of α‐Al2O3 have been characterized using ion scattering‐channeling techniques, and correlated with electron paramagnetic resonance (EPR) and microhardness measurements. Although most of the work was done on 52Cr implanted specimens, preliminary results have been obtained also for implanted 90Zr and 48Ti. For Cr implantation, the Al2O3 lattice damage saturates at relatively low doses, but the near‐surface region never becomes amorphous. A preferential annealing behavior begins in the Al sublattice after ∼800 °C annealing, and in the oxygen sublattice, only after 1000 °C annealing. Lattice location measurements show that after annealing to 1500 °C, Cr is greater than 95% substitutional in the Al sublattice. Above 1500 °C, implanted Cr atoms redistribute by substitutional diffusion processes. EPR measurements show that part, if not all, of the implanted Cr is trivalent and substitutional after annealing to 1600 °C. Microhardness measurem...

Journal ArticleDOI
TL;DR: From these two ingredients, the length dependence of the rate constant for spontaneous fragmentation can be deduced and the equilibrium constant for this process, or its inverse, is relatively easy to formulate from appropriate partition functions.

Journal ArticleDOI
L.E. Firment1, A. Ferretti1
TL;DR: The surface of single crystal MoO 3 has been prepared and examined using LEED, XPS, UPS, and ELS as mentioned in this paper, and the surface periodicity is the same as that of the bulk (010).

Journal ArticleDOI
TL;DR: Unintentional ion channeling in low energy ion implantation of boron into the human body has been studied in this article, where the authors propose a method to improve the performance of low-energy ion insertion.
Abstract: Unintentional ion channeling in low energy ion implantation of boron into

Journal ArticleDOI
TL;DR: In this paper, the authors combined electron microscopy (cross-section and plan-view) and ion backscattering techniques to study the details of solid phase-epitaxial (SPE) growth in Sb+, In+, Bi+, Ga+, and As+ implanted silicon after furnace annealing in the temperature range 450 to 650°C.
Abstract: Transmission electron microscopy (cross‐section and plan‐view) and ion backscattering techniques have been combined to study the details of solid‐phase‐epitaxial (SPE) growth in Sb+, In+, Bi+, Ga+, and As+ implanted silicon after furnace annealing in the temperature range 450 to 650 °C. The ion implanted amorphous layer grew ‘‘defect‐free’’ in 〈001〉 orientations and the crystalline–amorphous (c–a) interface during growth contained undulations ∼5 A over the intervals of 200–500 A. During SPE growth in 〈111〉 orientations, the c–a interface was atomically smooth initially, but eventually became nonplanar due to the formation of twins. From SPE growth rates at different temperatures, the activation energy associated with the growth was determined to be 2.6±0.3 eV. The dopant concentrations in defect‐free SPE grown layers were found to exceed equilibrium solid solubility limits by as much as a factor of 560 in the Si–Bi system. The absolute maximum concentrations, corresponding to the intersections of free‐ene...

Journal ArticleDOI
TL;DR: In this article, the mechanism of formation of the Al/SiC interface was investigated using electron energy-loss spectroscopies, as functions of Al coverage and annealing temperature, and it was shown that Annealing at moderate temperature (≤600°C) causes aggregation of Al at C-rich sites.
Abstract: Auger and electron energy‐loss spectroscopies, as functions of Al coverage and annealing temperature, have been used to determine the mechanism of formation of the Al/SiC interface. Al deposited at room temperature forms quasi‐metallic islands randomly distributed over the surface. Annealing at moderate temperature (≤600 °C) causes aggregation of Al at C‐rich sites. At higher temperature, Al reacts with C (but not with Si) to form Al4C3.

Journal ArticleDOI
TL;DR: In this article, a fast annealing method was proposed to improve the magnetic properties of amorphous alloys by fast heating of short electric current pulse flowing through the sample.
Abstract: A new method to improve the magnetic properties of amorphous alloys by fast annealing is introduced. The zero-magnetostrictive Fe 4.7 Co 70.3 Si 15 B 10 amorphous alloy was flash-annealed by the resistive heating of short (of the order of a second) electric current pulse flowing through the sample. The heating/cooling rate was of the order of 104-105K/min. This enables the sample to be annealed at much higher temperatures (estimated 800°C) without causing crystallization, compared to the conventional annealing methods. The maximum permeability of a zeromagnetostrictive alloy obtained by this method is higher than those obtained by the conventional annealing, with the additional benefit of smaller disaccomodation effect.

Journal ArticleDOI
TL;DR: In this paper, the thermal stability of the Al/TiSi2/Si contact system with Rutherford backscattering and glancing-angle x-ray diffraction was studied and the results showed that this sytem is thermally stable up to 550°C for 30 min annealing.
Abstract: We have studied the thermal stability of the Al/TiSi2/Si contact system with Rutherford backscattering and glancing‐angle x‐ray diffraction. The results show that this sytem is thermally stable up to 550 °C for 30 min annealing. At higher annealing temperatures Al starts to react with TiSi2 by forming the Ti7Al5Si12 ternary compound. Electrical measurements on shallow junction diodes with Al/TiSi2/Si contacts show that in contrast to the thermal stability results the device junctions were all shorted after annealing for 30 min at 400 °C. Investigation of the failure mechanism with scanning electron microscopy and electron microprobe reveals that even during low temperature annealing the Si diffuses through the TiSi2 and dissolves into the Al top layer. At the same time Al diffuses through the TiSi2, penetrates into the silicon substrate, and shorts device junctions. These processes are particularly amplified by a large area ratio of Al pad to Si contact opening.

Journal ArticleDOI
TL;DR: A series of undoped LiNbO3 crystals have been subjected to heat treatments in a reducing atmosphere, i.e., a vacuum as discussed by the authors, and optical absorption peaking near 500 nm was observed to change as a function of annealing temperature.

Journal ArticleDOI
TL;DR: In this article, a well-annealed W(110) single crystal was used as a fast-to-slow positron moderator, and the measured moderator efficiency at room temperature using a 58Co positron source in the backscattering geometry is roughly a factor of three better than the best previously reported Cu(111)+S moderator.
Abstract: A well-annealed W(110) single crystal was used as a fast-to-slow positron moderator. The measured moderator efficiency at room temperature using a58Co positron source in the backscattering geometry isɛ =(3.2±0.4)×10−3, roughly a factor of three better thanɛ for the best previously reported Cu(111)+S moderator. We find a stable positron moderation efficiency over a period of several weeks when maintained at pressures around 10−9 Torr and an energy spreadΔE = 0.7 eV of the emitted slow positrons. An initial attempt was made to fabricate a hybrid Cu on W(110) moderator, which yieldedɛ of about 1.2×10−3 after annealing.

Journal ArticleDOI
TL;DR: In this article, annealing of ion implantation damage and concomitant electrical activation of dopants, depth profiles, and lattice location of the dopants have been studied in arsenic and boron-implanted specimens after rapid thermal annesaling.
Abstract: Annealing of ion implantation damage and concomitant electrical activation of dopants, depth profiles, and lattice location of dopants have been studied in arsenic and boron‐implanted specimens after rapid thermal annealing. A ‘‘complete’’ annealing of displacement damage with full electrical activation of dopants and profile broadening less than 100 A can be attained for shallow implants whereas some extended defects are retained for deep implants. Mechanisms of rapid thermal annealing and its implications in solid state device fabrication are discussed.

Journal ArticleDOI
TL;DR: In this paper, reactively sputtered ZrN, the most thermally stable of the refractory metal nitrides, for its diffusion barrier properties in aluminum metallization schemes with Rutherford backscattering spectroscopy and transmission electron microscopy (TEM).

Journal ArticleDOI
TL;DR: In this article, the activation energy of the diffusion coefficient of Sb in the Ti silicide was determined, giving a value of Ea=1.9 eV, where eV is the energy of diffusion energy of a single atom.
Abstract: Silicon samples implanted with high doses of 121Sb, 75As, 31P, 16O, and 40Ar prior to Ti evaporation were studied to measure the growth of Ti‐silicide layers. The annealing behavior of these samples was investigated by four‐point probe measurement, Rutherford backscattering, and by x‐ray diffraction. The samples implanted with Sb, As, and P atoms enabled the growth of TiSi2 to be observed, though its final thickness was less than half when compared to that of the nonimplanted samples. Simultaneously with the diffusing‐out atoms of Sb, during annealing, segregation of Sb atoms takes place at the TiSi2/Ti interface and at the Ti surface. Using a simple model for the out‐diffusion of Sb atoms, the activation energy of the diffusion coefficient of Sb in the Ti silicide was determined, giving a value of Ea=1.9 eV. During out‐diffusion, As atoms tend to be distributed homogeneously in the silicide layer. With Ar‐ and O‐implanted samples, precipitation of Ti atoms can be observed at the implantation depth, and a...

Journal ArticleDOI
TL;DR: In this article, an extensive new study of the generation and annealing kinetics of a similar bulk donor in pSi metal-oxide-semiconductor capacitors subjected to as much as 20 C/cm2 avalanche electron injection is reported.
Abstract: Experimental evidence of the generation of a shallow bulk donor center in the surface space‐charge layer of oxidized silicon by exposure to a high dose of 10‐keV electron is first summarized. An extensive new study of the generation and annealing kinetics of a similar bulk donor in p‐Si metal‐oxide‐semiconductor capacitors subjected to as much as 20 C/cm2 avalanche electron injection is then reported. The generation kinetics from 180 to 298 K is first order with a constant total donor concentration of about 1×1017 cm−3 which is independent of both injection temperature and voltage, and a generation cross section given by 8.6×10−18 exp(−113 meV/kT) cm2, which increases with injection voltage. Rapid annealing begins at 50 °C following a second‐order kinetics from 50 °C to 150 °C with an annealing rate given by 4.2×10−7 exp(−1.07 eV/kT) cm3/sec. Analyses of the results suggest that a bulk oxygen donor is the origin, and the bimolecular annealing kinetics involves hydrogen bonding of the oxygen donor dangling...