scispace - formally typeset
Search or ask a question

Showing papers on "Annealing (metallurgy) published in 1984"


Journal ArticleDOI
TL;DR: In this paper, the authors studied the effect of the amount of excess silicon (Si) in the form of tiny Si precipitates in metal-insulator-semiconductor structures.
Abstract: Electroluminescence from metal‐insulator‐semiconductor structures with silicon dioxide (SiO2) layers containing varying amounts of excess silicon (Si) in the form of tiny Si precipitates have been studied in detail. Bulk insulator emission from the Si islands is shown to dominate over emission from either the SiO2 matrix material or the metallic gate material by studies of oxide or metal gate material, voltage polarity, and insulator thickness dependencies. Several distinct spectral peaks are observed in the energy range from 1.5 to 5 eV which cannot be attributed to optical interference effects. The higher‐energy peaks show a strong dependence on electric field relative to that at the lowest energy (1.7–2 eV). The entire spectral amplitude shows a strong dependence on high‐temperature annealing and excess Si content, decreasing drastically with increasing Si or decreasing annealing temperature. These results are shown to be consistent with light emission during electronic transitions between discrete energy levels associated with Si islands and/or their interface with the SiO2 host matrix material. Quantum size effects, similar to those observed in semiconductor superlattices, are proposed as one possible explanation.

330 citations


Journal ArticleDOI
TL;DR: In this paper, the structural change of an atomically smooth Pt (111) surface induced by oxidation has been followed by an electrochemical method in a sulfuric acid solution, and a sample cleaned by cycles of ion bombardment and annealing for LEED observation could also give atomically clean surfaces if the sample was not exposed to a vacuum for too long and was appropriately protected against contamination from filling pure gas.

136 citations


Journal ArticleDOI
TL;DR: In this paper, a neon ion beam has been used to regrow epitaxially a ~1700-AA{}-thick amorphous surface layer in silicon on sapphire at low temperatures.
Abstract: A neon ion beam has been used to regrow epitaxially a ~1700-\AA{}-thick amorphous surface layer in silicon on sapphire at low temperatures. The damaged layer was produced by implanting 80-keV silicon ions to a dose of $2\ifmmode\times\else\texttimes\fi{}{10}^{15}$ ions/${\mathrm{cm}}^{2}$ at room temperature. The channeling technique with 315-keV protons was used to investigate the depth distribution of the damage, and disorder depth profiles were extracted from the backscattering spectra using calculations based on multiplescattering theory. The epitaxial regrowth was quantitatively determined from the extracted profiles. Many of the parameters which influence the regrowth rate, such as dose, dose rate, target temperature, energy, and random or channeled direction for the annealing beam, were varied. The results were compared with energy deposition calculations which indicated strongly that the annealing rate depends on the energy deposited in elastic collisions by the annealing ion beam. A defect annealing model based on vacancy diffusion is discussed.

133 citations


Journal ArticleDOI
TL;DR: In this article, an amorphous zirconium-nickel phase was formed by annealing vapor deposited crystalline layers of elemental ZIRconium and nickel.
Abstract: We have formed an amorphous zirconium-nickel phase by annealing vapor deposited crystalline layers of elemental zirconium and nickel. Auger depth profiling and x-ray diffraction have been used to monitor the reaction. The thermodynamics of the process is explained in terms of a metastable free energy diagram which reflects the large negative heat of mixing between zirconium and nickel. The formation of an amorphous layer occurs via a diffusion limited reaction occurring at the zirconium-nickel interface.

128 citations


Journal ArticleDOI
TL;DR: In this article, the temperature and stress distribution induced in silicon wafers during rapid isothermal annealing have been calculated for two commonly used heating methods: (a) by a strip heater and (b) by uniform irradiation with an energy flux.
Abstract: The temperature and stress distribution induced in silicon wafers during ‘‘rapid isothermal’’ annealing have been calculated for two commonly used heating methods: (a) by a strip heater and (b) by uniform irradiation with an energy flux. Analytical expressions have been obtained for the temperature and stress profiles which show that thermoelastic effects, originated by the temperature drop at the sample edge, are much higher in case (b). The conditions of plastic deformation and consequent damage introduction have been established by comparing the yield stress with the value of stress resolved on the {111} planes in the 〈110〉 slip directions. As a result, the topographic distribution of the slip lines, the extension of the peripheral damaged region, and the temperature threshold for damage introduction have been evaluated for 2‐ and 4‐in. wafers. Rapid isothermal annealing experiments have been performed to check the results of the calculations. Two‐inch silicon wafers were irradiated uniformly for 15 sec in the temperature range from 930 to 1400 °C by using a shuttered electron gun. The threshold temperature for damage onset was found to lie in the interval 1030–1090 °C; the observed geometrical distribution of the slip lines and their extension were consistent with theoretical estimates.

118 citations


Journal ArticleDOI
TL;DR: In this paper, the structure of porous silicon is described by using both electron microscopy observations and gas adsorption experiments and a quite narrow pore size distribution is found, with pore diameters ranging between 50 and 100 A.

117 citations


Journal ArticleDOI
TL;DR: In this paper, the effects of annealing on the electrical properties of indium-doped zinc oxide films are explained in terms of the chemisorption and desorption of oxygen at the grain boundaries, which in turn lead to the creation or annihilation of extrinsic trap states.

112 citations


Journal ArticleDOI
TL;DR: In this paper, the authors measured the intrinsic stress of thin film tantalum silicide, titanium silicide and tungsten silicide in situ during sintering and found that the intrinsic thermal stress of these silicides is negligible at 910°C.
Abstract: The stress of thin film tantalum silicide, titanium silicide, and tungsten silicide was measured in situ during sintering. These refractory metal silicide films were cosputtered on oxidized silicon, quartz, and sapphire substrates. The films were heated to 910 °C, annealed for 30 min, and cooled down to room temperature. Throughout the heat treatment cycle, the film stress was measured at short intervals by measuring the curvature of the film/substrate couple with a laser beam. It was demonstrated that the intrinsic stress of these silicides is negligible at 910 °C. The final room temperature stress of these silicides, after high temperature sintering, is mainly thermal stress which originates from the difference in thermal expansion coefficients between the films and the substrates. The final stress ranged from 8×108 to 2×109 Pa depending on the silicide and the substrate material. The biaxial elastic moduli were found to be 3.4×1011 Pa for TaSi2.4, 3.9×1011 Pa for TaSi1.4, and 2.2×1011 Pa for TiSi2.4. T...

108 citations


Patent
26 Nov 1984
TL;DR: In this paper, a method for producing hyperabrupt P± or N± regions in a near-surface layer of a substantially defect free crystal, using solid phase epitaxy and transient annealing, was proposed.
Abstract: A method for producing hyperabrupt P± or N± regions in a near-surface layer of a substantially defect free crystal, using solid phase epitaxy and transient annealing. The process for producing a hyperabrupt retrograde distribution of the dopant species begins with amorphizing the near-surface layer of a base crystal, and then implanting a steep retrograde distribution of the desired species into the amorphized layer, so that the retrograde distribution lies entirely within the amorphized layer, thereby avoiding channelling effects during implantation. The substantially defect-free structure of the base crystal is restored by annealing the implanted base crystal at a temperature sufficiently high to induce solid phase epitaxial regrowth on the underlying nonamorphized crystal, but at a temperature sufficiently low to avoid significant diffusion of the implanted species. The implanted species is subsequently activated by a rapid thermal annealing process, at a temperature sufficiently high to activate the implanted species, but for a very short time so that long-range diffusion does not occur. In a preferred embodiment, the implanted species is boron, BF 2 + , phosphorus, or arsenic in the top 0.20 micrometers of a substantially defect-free silicon base crystal, which may be in a bulk form or epitaxially deposited on an insulator substrate such as sapphire.

103 citations


Journal ArticleDOI
T. Sato1, M. Shimada1
TL;DR: In this paper, changes in the phase composition and microstructure of yttria-partially-stabilized zirconia by low-temperature annealing were investigated at 100° to 500°C using bodies sintered from coprecipitated fine ZrO2-Y2O3 powders at varied temperatures.
Abstract: Changes in the phase composition and microstructure of yttria-partially-stabilized zirconia by low-temperature annealing were investigated at 100° to 500°C using bodies sintered from coprecipitated fine ZrO2-Y2O3 powders at varied temperatures. Tetragonal zirconia on the surfaces of bodies sintered at <1500°C transformed to the monoclinic phase at 100° to 400°C. Transformation behavior was strongly affected by grain size.

95 citations


Journal ArticleDOI
TL;DR: In this article, the oxygen behavior and its influence on Ti silicide formation was systematically studied in the TiO2/Si and Ti/TiO 2/Si systems using Rutherford backscattering, nuclear reaction analysis, and x-rays diffraction techniques.
Abstract: The oxygen behavior and its influence on Ti silicide formation is systematically studied in the TiO2/Si and Ti/TiO2/Si systems using Rutherford backscattering, nuclear reaction analysis, and x‐rays diffraction techniques. After annealing in vacuum ( p<5×10−7 Torr), no reaction was observed up to 900 °C in the TiO2/Si system, whereas in the Ti/TiO2/Si system, metallic titanium reacts with the TiO2 film above 400 °C and at 600 °C a uniform oxygen solid solution is formed. The silicide formation starts at 650 °C and up to 750 °C the only phase formed is Ti5Si3. We found that this phase is kinetically favored as long as the Ti is being supplied by the unreacted film. The growth rate kinetics was found to have parabolic behavior and was therefore controlled by Si volume diffusion. Above 750 °C, TiSi2 forms very rapidly, its growth being nucleation controlled. During the growth of the silicide layer, a diffusion of oxgen toward the surface region was observed. When the oxygen concentration in the surface layer exceeded the solubility limit, Ti oxide precipitated and the silicide growth nearly stopped, even if some silicon reached the surface. At a temperature higher than 850 °C, a marked oxygen loss takes place, most probably via SiO sublimation. The sublimation process is favored by the presence of Si in the surface region and prevents the formation of a stable SiO2 diffusion barrier at the TiSi2/TiOx interface.

Journal ArticleDOI
TL;DR: In this paper, the feasibility of developing dispersion-strengthened powder metallurgy Ti alloys was determined in Ti-RE (RE = Ce, Dy, Er, Gd, La, Nd, or Y) alloys prepared by rapid solidification processing.
Abstract: The feasibility of developing dispersion-strengthened powder metallurgy Ti alloys was determined in Ti-RE (RE = Ce, Dy, Er, Gd, La, Nd, or Y) alloys prepared by rapid solidification processing. The alloys were produced by electron-beam melting and splat quenching. Dispersoid precipitation and growth were studied as functions of annealing temperature, 700 to 1000 °C, for annealing times between 5 and 50,000 minutes. Dispersoid diameters, spacings, compositions, and crystal structures were characterized by transmission and scanning electron microscopy, X-ray and electron diffraction, energy-dispersive X-ray analysis, and scanning Auger microscopy. Two classes of dispersoid coarsening behavior at temperatures below theβ-transus were identified. In Ti-Ce, Ti-Gd, and Ti-Nd alloys, equilibrium rare earth sesquioxide (RE2O3) dispersoids form early in the annealing process and coarsen rapidly to > 1 μm diameter. The Ti-Nd alloys additionally contain large volume fractions of small (< 100 nm diameter) dispersoids. In the other Ti-RE alloys, dispersoids identified as Ti-RE-O-C compounds coarsen relatively slowly. Ti-Er is the most promising of the investigated systems for application in a multicomponent dispersion-strengthened alloy because long-time annealing at 700 to 800 °C produces stable dispersoids of 50 to 150 nm average diameter and 300 to 600 nm inter-particle spacing.

Journal ArticleDOI
TL;DR: In this paper, the annealing kinetics of the two levels are studied and it is found that EL6 vanishes by a pair-defect (short-range) type recombination while the U band anneals by a long range migration process.
Abstract: Fast neutron irradiation of n‐GaAs mainly induces two deep electron traps in the band gap. The first of these is referred to as EL6 and has an energy level at Ec −0.35 eV, where Ec is the conduction band minimum; the second one has a wide energy distribution around Ec −0.5 V and is referred to as the U band. The annealing kinetics of these two levels is studied, and it is found that EL6 vanishes by a pair‐defect (short‐range) type recombination while the U band anneals by a long range migration process. Both annealing processes can be observed between 400 and 500 °C. In this annealing temperature range, the concentration of deep donor level EL2 (Ec −0.75 eV) increases with temperature. It is suggested that the defect giving rise to the EL2 level is created during irradiation but that medium range (tens to hundreds of A) interactions with other neighboring defects strongly influence the electric properties of the overall defect, which is then detected as the U band. Due to long range migration, annealing r...

Journal ArticleDOI
TL;DR: In this article, microstructural changes in self-implanted and arsenic-ionimplanted amorphous silicon layers as a function of energy density after pulsed ruby laser irradiation, using cross-section transmission electron microscopy and Rutherford backscattering.
Abstract: We have investigated microstructural changes in self‐implanted and arsenic‐ion‐implanted amorphous silicon layers as a function of energy density after pulsed ruby laser irradiation, using cross‐section transmission electron microscopy and Rutherford backscattering. In specimens irradiated with energy densities less than that required to cause complete annealing, we have identified two distinct regions; the first one consisting of fine polycrystals and the second one consisting of large polycrystals. The changes in thickness of these two regions as a function of pulse energy density are described. Concomitant changes in arsenic concentration profiles are consistent with diffusion in liquid silicon. From the profile broadening in the large polycrystalline region, the crystal growth velocity was estimated to be 4–6 ms−1.

Journal ArticleDOI
TL;DR: In this paper, the orientation relationship between polycrystalline thin films of gold and monocrystalline substrates of GaAs was investigated as a function of deposition temperature and subsequent annealing conditions by transmission electron microscopy, incorporating a special cross-sectioning technique.

Journal ArticleDOI
TL;DR: In this article, the intrinsic defects in amorphous SiO2 were discussed in terms of specific models for the intrinsic defect in a-SiO2. And the authors showed that the spectra of the dominant centers can be isolated by using their annealing, microwave power, and excitation-photon energy dependence.
Abstract: Several paramagnetic defects are created by exposure of amorphous SiO2 to sub-band-gap light. The spectra of the dominant centers can be isolated by using their annealing, microwave power, and excitation-photonenergy dependence. The results are discussed in terms of specific models for the intrinsic defects in a-SiO2.

Journal ArticleDOI
TL;DR: In this paper, the effect of Si-doping levels and annealing temperature on disordering of 150-A AlAs/150-A GaAs superlattices was studied.
Abstract: Effect of Si-doping levels and annealing temperature on disordering of 150-A AlAs/150-A GaAs superlattices is studied. The doping level of 4×1018 cm-3 cause disorder for 800°C, 2 h annealing, while the doping level of 1×1018 cm-3 does not induce disorder on this annealing condition. A superlattice which is doped with 1×1019 Si cm-3 disintegrates after 650°C, 2 h annealing and the diffusion coefficient of Al–Ga interdiffusion is estimated to be 3×10-17 cm2s-1. For 800°C, 2 h annealing the two undoped AlAs/GaAs layers adjacent to the doped region are disordered by Si diffusion.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated ion-implantation damage in silicon and gallium arsenide as a function of implantation and substrate variables using electron microscopy and Rutherford backscattering techniques.
Abstract: The nature of ion-implantation damage in silicon and gallium arsenide as a function of implantation and substrate variables has been investigated using electron microscopy and Rutherford backscattering techniques. With no annealing effects, the crystalline-to-amorphous transition occurs at a critical energy of 12 eV/atom for silicon. By increasing the dose rate or the substrate temperature, defect-free regions with no dopant redistribution are obtained. There is a dose rate or substrate temperature window in which voids are formed, producing undesirable effects. The annealing effects at high dose rates are interchangeable with substrate temperature. A high fraction of dopants in both Si and GaAs is found to be in electrically active substitutional sites after high dose rate or high temperature implants. The origin and thermal annealing of underlying dislocation bands have been examined in detail. Subsequent annealing behavior of underlying dislocation bands is critically determined by the nature of the as-implanted states.

Journal ArticleDOI
TL;DR: In this paper, a laterally uniform layer of CoSi2 was formed by annealing at temperatures between 405° and 500°C from CoSi with evaporated Si films.
Abstract: 2 MeV4He+ backscattering spectrometry and CuK α x-ray diffraction were used to study CoSi2 formed by annealing at temperatures between 405° and 500 °C from CoSi with evaporated Si films. A laterally uniform layer of CoSi2 forms, in contrast to the laterally nonuniform CoSi2 layer that is obtained on single crystal Si substrates. The thickness of the CoSi2 film formed is proportional to the square root of time at a fixed temperature. The activation energy of this reaction is about 2.3 eV.

Journal ArticleDOI
S. Iwata1, Naoki Yamamoto1, Nobuyoshi Kobayashi1, T. Terada1, T. Mizutani1 
TL;DR: In this paper, tungsten is used as a gate metal because degradation of SiO 2 by annealing the metal/SiO 2 /Si structure at around 1000°C can be minimized if the metal is W. This gate process is believed to be a step forward toward the desired compatibility.
Abstract: In spite of the growing demand for MOS gates and interconnections of higher conductivity, the refractory metal gate process has not received as much attention as those using silicides because it is incompatible with the Si-gate process. The metal gate cannot withstand oxidizing annealing ambients, and source-drain formation by ion implantation is difficult because of the channeling of doping ions through the gate metal during ion implantation. In a new process developed for use in MOS VLSI fabrication, tungsten (W) is used as a gate metal because degradation of SiO 2 by annealing the metal/SiO 2 /Si structure at around 1000°C can be minimized if the metal is W. Metal oxidation is prevented by using a H 2 /H 2 O ambient for this annealing, which also allows Si to be oxidized in the same ambient. The channeling mentioned above is stopped by forming a thin layer of PSG or WO x on the W. This gate process is believed to be a step forward toward the desired compatibility.

Journal ArticleDOI
TL;DR: In this paper, the effects of ion implantation damage (in the form of amorphous layers and/or the layers containing only dislocation loops) in silicon and gallium arsenide has been studied by electron microscopy techniques and the effects on dopant distribution have been investigated by Rutherford backscattering and secondary ion mass spectrometry techniques.
Abstract: Annealing of ion implantation damage (in the form of amorphous layers and/or the layers containing only dislocation loops) in silicon and gallium arsenide has been studied by electron microscopy techniques and the effects on dopant distribution have been investigated by Rutherford backscattering and secondary ion mass spectrometry techniques. The annealing of amorphous layers occurs by solid‐phase‐epitaxial growth and that of dislocation loops involves primarily loop coalescence as a result of conservative climb and glide processes. The annealing of isolated loops occurs primarily by a bulk diffusion process. Almost a ‘‘complete’’ annealing of displacement damage is possible for shallow implants provided loop coalescence does not lead to the formation of a crossgrid of dislocations. For deep implants, the free surface cannot provide an effective sink for defects as in the case of shallow implants. Dopant profiles can be controlled to less than 500 A in layers having good electrical properties. The enhanced diffusion of dopants which is observed is probably due to entrapment of point defects in the annealing regions.

Journal ArticleDOI
TL;DR: In this paper, a simplified model consisting of bulk material separated by highly resistive grain boundary layers is proposed to analyze the electrical properties of semiconducting ferrite materials and the nature of grain boundary on its electrical behavior is also discussed.
Abstract: The electrical properties of semiconducting ferrite materials are investigated. A simplified model, consisting of bulk material separated by highly resistive grain boundary layers, is proposed. The nature of grain boundary on its electrical behavior is also discussed. Complex impedance technique is used to analyze the electrical response of polycrystalline specimens. The characteristics of the complex impedance dispersion curves for MnZn‐ferrite specimens are affected by the sintering and annealing conditions. All the complex impedance plots differ from idealized semicircles, but can be fitted by circular arcs very well. An experimental equivalent circuit is proposed to explain the observed R‐X dispersion. The tremendous increase in the grain boundary resistivity due to controlled‐atmosphere sintering is ascribed to the preferential oxidation. The decrease in the apparent resistance of the specimens in the high‐frequency region arises from the polarization effect. This is attributed to the special microstructure of such material, namely, semiconducting bulk material with insulating grain boundaries.

Journal ArticleDOI
TL;DR: In this article, the results of Raman scattering, infrared absorption, X-ray diffraction and electron spin resonance measurements as a function of annealing temperature are reported for Si-C, S-N, Si-Ge and Ge-C alloy films prepared by r.f. sputtering.
Abstract: Results of Raman scattering, infrared absorption, X-ray diffraction and electron spin resonance measurements as a function of annealing temperature are reported for Si-C, S-N, Si-Ge and Ge-C alloy films prepared by r.f. sputtering. Amorphous Si-C and Si-N Films tend to segregate into stoichiometric clusters (SiC and Si3N4) and excess element clusters with annealing, and the crystallization temperature for these films increases with increasing C or N content. Amorphous Si-Ge films are crystallized by annealing without segregation, and the crystallization temperature for these films decreases monotonically with increasing Ge content. Amorphous Ge-C films are crystallized by annealing with segregation into Ge- and C-like clusters, and the crystallization temperature has a maximum value around Ge0·42C0·58.

Journal ArticleDOI
TL;DR: In this article, a system of three coupled diffusion equations describing the equilibration process in the premelt phase of laser annealing is solved and analyzed for a short pulse (20,30 psec) on a thin (d=150 A) and thick Si,Ge slabs.
Abstract: A system of three coupled diffusion equations describing the equilibration process in the premelt phase of laser annealing is solved and analyzed for a short pulse—20,30 psec—on a thin (d=150 A) and thick Si,Ge slabs. We find the carrier temperature to be a very sensitive gauge for the electron‐phonon relaxation time constant τ0. The existing data (Si, λL =0.533 μm and EL =0.25 J/cm2) are consistent with τ0≂0.4–1.0 psec, and the carrier peak temperature is predicted to be in the range 2000–4300 °K.

Journal ArticleDOI
TL;DR: In this paper, an anomalous enhanced diffusion is observed when as-implanted Si samples are exposed to rapid heating (∼ seconds) from room temperature to temperatures exceeding 1000°C.
Abstract: An anomalous enhanced diffusion is observed when As‐implanted Si samples are exposed to rapid heating (∼ seconds) from room temperature to temperatures exceeding 1000 °C. This diffusion can be characterized by a low activation energy of ∼1.8 eV and is active during a very short time (≲ 1 s) probably during the rapid heating up of the sample.

Journal ArticleDOI
TL;DR: In this paper, the optical, electrical and structural properties of CuInS2 thin films prepared by spray pyrolysis have been studied and the optical band gap at room temperature was 1.43 eV, and the polarity of the thermoelectromotive force showed p-type conduction at a sulfur concentration ratio to Cu[S] ≥ 2.8.
Abstract: The optical, electrical and structural properties of CuInS2 thin films prepared by spray pyrolysis have been studied. The polycrystalline films produced by spraying a solution of Cu (CH3COO)2H2O, InCl3 and (NH2)2CS with the atomic ratio Cu:In:S=1:1:2.8~1:1:3.2 and annealing at 500°C for 2 hours were nearly stoichiometric, and the depth profiles of the atomic composition were flat except near the surface. The optical band gap at room temperature was 1.43 eV, and the polarity of the thermoelectromotive force showed p-type conduction at a sulfur concentration ratio to Cu[S]≥2.8. The activation energy of conductivity was 0.3 eV.

Journal ArticleDOI
TL;DR: In this paper, the diffusion of ion-implanted As in SiO2 with Rutherford backscattering spectrometry was investigated, and the authors were able to distinguish three types of diffusion for implanted As.
Abstract: We investigated the diffusion of ion‐implanted As in SiO2 with Rutherford backscattering spectrometry. By applying different annealing ambients and also encapsulating with a Si3N4 layer, we were able to distinguish three types of diffusion for implanted As in SiO2. These are correlated to two chemical states of As in SiO2. One state, with As completely immobile in SiO2, is typical for implanted As and occurs when no extra oxygen is supplied during annealing. This type of As is associated with As on oxygen sites in the SiO2 network. When extra oxygen is supplied during annealing, As is incorporated on Si sites and exhibits the normal diffusion coefficient of As in SiO2. Diffusion occurs by a mobile arsenic‐oxygen complex formed by a reaction with the extra oxygen. When annealing is carried out in an O2/H2O mixture, enhanced diffusion of As is observed; this is attributed to the introduction of hydroxyl groups in the SiO2 network which tend to weaken the structure. When, in addition to the As, P is also implanted, As becomes immobile in all annealing ambients.

Journal ArticleDOI
TL;DR: In this article, age hardening in Cu-9Ni-2Sn and 9Ni-5Sn alloys at 573 and 673 K was studied by means of TEM and ED: spinodal decomposition takes place (during quenching) followed by nucleation of the coherent (CUxNi1−x)3Sn particles.

Journal ArticleDOI
TL;DR: In this article, the authors used an ultrahigh powered, 100kW vortex cooled arc lamp to anneal 75mm-diam 〈100〉 silicon wafers implanted with various doses of 50-keV B+ and BF+2 ions.
Abstract: We have used an ultrahigh powered, 100‐kW vortex cooled arc lamp to anneal 75‐mm‐diam 〈100〉 silicon wafers implanted with various doses of 50‐keV B+ and BF+2 ions. Sheet resistivity measurements, secondary ion mass spectrometry, and transmission electron microscopy have been used to characterize the annealed wafers. Standard diffusion coefficients predict little dopant movement in the temperature (∼1200 °C) and time (∼1 s) region we studied. However, boron atoms which have been channeled relatively deep into the silicon and left in interstitial positions move ∼100 nm in ∼1 s at low temperatures, then stop. We presume that they encounter a vacancy and become substitutional. The dopant diffusion rate then is close to equilibrium values, and there is little measurable movement between 900 and 1250 °C. A 3‐s lamp cycle with maximum wafer temperature 1230 °C is sufficient to fully activate a 1014 cm−2 BF+2 implant and leave the material with no extended defects. The dopant half‐width and junction depth are 50 ...

Journal ArticleDOI
C.Y. Ting1
TL;DR: In this article, the use of TiN thin films as high temperature diffusion barrier layers for arsenic and boron was investigated, and the results indicated that TiN is a good diffusion barrier for arsenic at 900°C and it becomes ineffective at 1000°C.