scispace - formally typeset
Search or ask a question

Showing papers on "Annealing (metallurgy) published in 1997"


Journal ArticleDOI
TL;DR: In this paper, transmission electron microscopy measurements of implantation damage were combined with B diffusion experiments using doping marker structures grown by molecular-beam epitaxy (MBE) to study the mechanisms of TED.
Abstract: Implanted B and P dopants in Si exhibit transient enhanced diffusion (TED) during annealing which arises from the excess interstitials generated by the implant. In order to study the mechanisms of TED, transmission electron microscopy measurements of implantation damage were combined with B diffusion experiments using doping marker structures grown by molecular-beam epitaxy (MBE). Damage from nonamorphizing Si implants at doses ranging from 5×1012 to 1×1014/cm2 evolves into a distribution of {311} interstitial agglomerates during the initial annealing stages at 670–815 °C. The excess interstitial concentration contained in these defects roughly equals the implanted ion dose, an observation that is corroborated by atomistic Monte Carlo simulations of implantation and annealing processes. The injection of interstitials from the damage region involves the dissolution of {311} defects during Ostwald ripening with an activation energy of 3.8±0.2 eV. The excess interstitials drive substitutional B into electric...

618 citations


Journal ArticleDOI
TL;DR: In this article, a process for making thick, stress-free, amorphous-tetrahedrally bonded carbon (a-tC) films with hardness and stiffness near that of diamond was developed.
Abstract: We have developed a process for making thick, stress-free, amorphous-tetrahedrally bonded carbon (a-tC) films with hardness and stiffness near that of diamond. Using pulsed-laser deposition, thin a-tC films (0.1–0.2 μm) were deposited at room temperature. The intrinsic stress in these films (6–8 GPa) was relieved by a short (2 min) anneal at 600 °C. Raman and electron energy-loss spectra from single-layer annealed specimens show only subtle changes from as-grown films. Subsequent deposition and annealing steps were used to build up thick layers. Films up to 1.2 μm thick have been grown that are adherent to the substrate and have low residual compressive stress (<0.2 GPa). The values of hardness and modulus determined directly from an Oliver–Pharr analysis of nanoindentation experimental data were 80.2 and 552 GPa, respectively. We used finite-element modeling of the experimental nanoindentation curves to separate the “intrinsic” film response from the measured substrate/film response. We found a hardness ...

292 citations


Journal ArticleDOI
TL;DR: In this paper, the interfacial characteristics of Al/SiO2/n-type 6H-SiC capacitors fabricated by rapid thermal processing (RTP) with N2O and NO annealing are investigated.
Abstract: Interfacial characteristics of Al/SiO2/n-type 6H–SiC metal–oxide–semiconductor capacitors fabricated by rapid thermal processing (RTP) with N2O and NO annealing are investigated. Interface state density was measured by a conductance technique at room temperature. RTP oxidation in pure O2 leads to an excellent SiO2/n-type 6H–SiC interface with interface state density in the order of 1010–1011 eV−1 cm−2. NO annealing improves the SiO2/n-type 6H–SiC interface, while N2O annealing increases the interface state density.

291 citations


Journal ArticleDOI
TL;DR: In this article, a simple theory which analyses discontinuous and continuous recovery, recrystallization and grain growth, and which enables the relationships between the various annealing phenomena to be explored, was extended to include the effects of a dispersion of second-phase particles.

285 citations


Journal ArticleDOI
TL;DR: In this paper, the influence of post-deposition annealing between 300 and 1100 °C on the structural and optical properties and surface morphology of titanium oxide has been investigated.

261 citations


Journal ArticleDOI
TL;DR: Blueshifts in the photoluminescence emission energies from an ensemble of self-assembled InAs quantum dots are observed as a result of postgrowth thermal annealing.
Abstract: Blueshifts in the photoluminescence emission energies from an ensemble of self-assembled InAs quantum dots are observed as a result of postgrowth thermal annealing. Enhancement of the integrated photoluminescence emission and narrowing of the full width half-maxima (from 55 to 12 meV) occur together with blueshifts up to 300 meV at annealing temperatures up to 950 °C. Evidence that the structures remain as dots comes form the observation of level filling and photoluminescence excitation studies which reveal LO phonon peaks occurring at multiples of ∼30 meV from the detection energies.

236 citations


Journal ArticleDOI
TL;DR: In this paper, textured textured WS2 and MoS2 films are obtained by the techniques of reactive sputtering and solid state reaction, as long as the substrates used are each coated with a 10-20 nm Ni layer.

234 citations


Journal ArticleDOI
TL;DR: In this paper, the photoluminescence (PL) and electroluminecence (EL) properties of Ge-implanted SiO2 layers thermally grown on a Si substrate were investigated and compared to those of Si-implants O2 films.
Abstract: The photoluminescence (PL) and electroluminescence (EL) properties of Ge-implanted SiO2 layers thermally grown on a Si substrate were investigated and compared to those of Si-implanted SiO2 films. The PL spectra from Ge-implanted SiO2 were recorded as a function of annealing temperature. It was found that the blue-violet PL from Ge-rich oxide layers reaches a maximum after annealing at 500 °C for 30 min, and is substantially more intense than the PL emission from Si-implanted oxides. The neutral oxygen vacancy is believed to be responsible for the observed luminescence. The EL spectrum from the Ge-implanted oxide after annealing at 1000 °C correlates very well with the PL one, and shows a linear dependence on the injected current. The EL emission was strong enough to be readily seen with the naked eye and the EL efficiency was assessed to be about 5×10−4.

189 citations


Journal ArticleDOI
TL;DR: In this paper, the pulsed laser recrystallization and doping of thin film amorphous silicon deposited on oxide-coated polyester substrates was reported. But no evidence of damage to the plastic or film delamination from the substrate was found.
Abstract: We report the pulsed laser recrystallization and doping of thin film amorphous silicon deposited on oxide-coated polyester substrates. Although our heat-flow simulation of the laser recrystallization process indicates that the plastic is briefly subjected to temperatures above its softening point, we see no evidence of damage to the plastic or film delamination from the substrate. Film grain size is found to vary up to ∼0.1 μm. Electrical characteristics obtained from simple strip line resistors and thin film transistors indicate that device-quality silicon films have been produced on an inexpensive flexible plastic substrate.

173 citations


Journal ArticleDOI
TL;DR: The Hi-Nicalon fiber as discussed by the authors consists of β-SiC nanocrystals (≈5nm) and stacked carbon layers of 2-3nm in extension, in the form of carbon network along the fibre.
Abstract: The oxygen free Si–C fibre (Hi-Nicalon) consists of β-SiC nanocrystals (≈5nm) and stacked carbon layers of 2–3nm in extension, in the form of carbon network along the fibre. This microstructure gives rise to a high density, tensile strength, stiffness and electrical conductivity. With respect to a Si–C–O fibre (Nicalon NL202), the Si–C fibres have a much greater thermal stability owing to the absence of the unstable SiOxCy phase. Despite its high chemical stability, it is nevertheless subject to a slight structural evolution at high temperatures of both SiC and free carbon phases, beginning at pyrolysis temperatures in the range 1200–1400°C and improving with increasing pyrolysis temperature and annealing time. A moderate superficial decomposition is also observed beyond 1400°C, in the form of a carbon enriched layer whose thickness increases as the pyrolysis temperature and annealing time are raised. The strength reduction at ambient for pyrolysis temperatures below 1600°C could be caused by SiC coarsening or superficial degradation. Si–C fibres have a good oxidation resistance up to 1400°C, due to the formation of a protective silica layer.

154 citations


Journal ArticleDOI
TL;DR: In this paper, small-angle X-ray scattering (SAXS) and low-frequency Raman spectroscopy experiments have been performed to study the mobility and motion of polymer chains upon annealing in a temperature range close to but below the melting temperature.
Abstract: In-situ small-angle X-ray scattering (SAXS) and low-frequency Raman spectroscopy experiments have been performed to study the mobility and motion of polymer chains upon annealing in a temperature range close to but below the melting temperature. Ultrahigh molecular weight polyethylene, UHMW-PE, was taken as the model polymer, which was crystallized from solution. Regularly stacked lamellar crystals could be obtained after drying the solution-crystallized films. SAXS studies revealed that, upon heating above 110 °C, the lamellar thickness (long period) increases to twice the initial value. This quantum increase was confirmed by transmission electron microscopy (TEM). By means of in-situ low-frequency Raman spectroscopy it was observed that during heating a shift occurs in the longitudinal acoustic (LA) Raman frequency to lower values, indicative of an increase in the thickness of the crystalline core. The combined experimental observations indicate that thickening occurs via a mutual chain rearrangement be...

Journal ArticleDOI
TL;DR: In this article, two types of refined structure are distinguished by optical and transmission electron microscopy, one is created through intense deformation (four extrusion passes through a 90° die, e = 4.62) by dynamic rotational recrystallization and is a well-formed grain (fragmented) structure with a mean fragment or grain size of 0.2-0.4 μm.
Abstract: Submicrometer-grained (SMG) microstructures are produced in an Al–Mg–Si alloy (6061) by subjecting peak-aged and overaged billets of the alloy to intense plastic strain by a process known as equal channel angular extrusion. Two types of refined structure are distinguished by optical and transmission electron microscopy. One structure is created through intense deformation (four extrusion passes through a 90° die, e = 4.62) by dynamic rotational recrystallization and is a well-formed grain (fragmented) structure with a mean fragment or grain size of 0.2–0.4 μm. The other structure is produced by post-extrusion annealing through static migration recrystallization, resulting in a grain size of 5–15 μm. Intense deformation of peak-aged material to a true strain e of 4.62 (four passes) produces a strong, ductile, uniform, fine, and high angle grain boundary microstructure with increased stability against static recrystallization as compared to the overaged material.

Journal ArticleDOI
A. Gurlo1, Maria Ivanovskaya, A. Pfau1, Udo Weimar1, Wolfgang Göpel1 
TL;DR: In this paper, the effect of annealing in air and in reducing atmosphere was studied by X-ray photoelectron spectroscopy (XPS) and electron spin resonance (ESR).

Journal ArticleDOI
TL;DR: In this paper, the evolution of defect energy levels in CdS thin films, grown in cubic phase by chemical bath deposition, as a function of thermal annealing temperatures in Ar+S2 atmosphere was investigated.
Abstract: Spectral photoconductivity, photoconductive quenching, photoluminescence, and thermally stimulated current measurements, have been carried out in order to study the evolution of defect energy levels in CdS thin films, grown in cubic phase by chemical bath deposition, as a function of thermal annealing temperatures in Ar+S2 atmosphere. The results are influenced by a cubic-to-hexagonal phase transition. From those measurements, a number of trap levels and deep levels in the forbidden band are determined. The results can be explained in terms of the evolution of native and phase transition generated defects in the sample structure.

Journal ArticleDOI
TL;DR: In this article, a model for the stress relaxation of amorphous carbon films containing high concentrations of fourfold coordinated carbon is presented, and the distribution of activation energies for this process is derived from the experimental measurements of stress relaxation and is found to range from 1 eV to over 3 eV.
Abstract: A model for the stress relaxation of amorphous carbon films containing high concentrations of fourfold coordinated carbon is presented. The onset of stress relaxation in these materials occurs following thermal annealing at temperatures as low as 100°C, and near full stress relaxation occurs after annealing at 600°C. The stress relaxation is modeled by a series of first order chemical reactions which lead to a conversion of some fourfold coordinated carbon atoms into threefold coordinated carbon atoms. The distribution of activation energies for this process is derived from the experimental measurements of stress relaxation and is found to range from 1 eV to over 3 eV. Permanent increases in the electrical conductivity of the carbon films are also found following thermal annealing. The electrical conductivity is found to be exponentially proportional to the number of additional threefold atoms which are created upon annealing, with the increase in threefold atom concentration being deduced from the stress relaxation model. This indicates that the increase in electrical conductivity and the stress relaxation originate from the same fourfold to threefold conversion process and that electrical transport through these films is dominated by a hopping conduction process.

Journal ArticleDOI
TL;DR: In this article, a model that is based on the catalytic activities of the top electrode to dissociate hydrogen molecules into hydrogen atoms, with the latter subsequently migrating into PZT or SBT films to cause oxygen deficiency and its associated property degradation was proposed.
Abstract: Forming gas annealing causes changes in the remanent polarization (Pr), coercive field (Ec), and leakage current (I) in both PZT [Pb(Zr,Ti)O3] and SBT (SrBi2Ta2O9) samples with a variety of top electrode materials (Pt, Au, Ag, Cu, Ni, and In2O3), and the degree of degradation depends strongly on the top electrode material. These results may be explained by a model that is based on the catalytic activities of the top electrode to dissociate hydrogen molecules into hydrogen atoms, with the latter subsequently migrating into PZT or SBT films to cause oxygen deficiency and its associated property degradation. This model can be expanded to explain the recovery phenomenon resulting from oxygen annealing, which also depends on the catalytic activity of the top electrode to produce atomic oxygen from molecular oxygen.

Journal ArticleDOI
TL;DR: In this article, the authors investigated strain relaxation and defect formation in Si1−xGex films grown epitaxially on (100)Si substrates by controlled annealing experiments.
Abstract: Mechanisms of strain relaxation and defect formation during surface roughening in Si1−xGex films grown epitaxially on (100)Si substrates have been investigated by controlled annealing experiments. Epitaxial films 10 nm in thickness and containing 18% Ge, which are subcritical with respect to the formation of misfit dislocations, show strain relaxation through surface roughening on annealing at 850 °C, where surface grooves are aligned along 〈100〉 directions. Other films with 22% Ge and supercritical thicknesses have also been studied, where surface grooves are aligned along 〈110〉 directions.

Journal ArticleDOI
TL;DR: In this paper, it was shown that the oxide/SiC interface would be inferior to the Si interface for both N-type and P-type SiC, if it were not for the beneficial effects of nitrogen incorporation.
Abstract: This letter addresses the question of why it is possible to grow high-quality oxide films on N-type but not on P-type SiC. It provides results which indicate that the oxide/SiC interface would be inferior to the oxide/Si interface for both N-type and P-type SiC, if it were not for the beneficial effects of nitrogen incorporation. The letter presents, for the first time, results on nitridation of thermally grown oxides in NO and N/sub 2/O. The results demonstrate that the oxides grown on P-type can be improved by NO annealing, but not by N/sub 2/O annealing.

Journal ArticleDOI
TL;DR: In this paper, the formation of nanosize ferromagnetic MnAs crystallites imbedded in low-temperature grown GaAs using Mn+ ion implantation and subsequent annealing was reported.
Abstract: We report the formation of nanosize ferromagnetic MnAs crystallites imbedded in low-temperature grown GaAs using Mn+ ion implantation and subsequent annealing. The structural and magnetic properties of the crystallites have been characterized by transmission electron microscopy, electron beam induced x-ray fluorescence, and superconducting quantum interference device magnetometry. After an optimized thermal annealing at 750 °C, MnAs crystallites of 50 nm in size are formed. These nanomagnets show room temperature ferromagnetism.

Patent
12 Jun 1997
TL;DR: In this article, a method of treating a component formed from a Ni-Ti-based shape memory alloy, so that the component exhibits super-elasticity, comprises cold working the component, annealing the alloy while the component is restrained in the configuration resulting from the cold working step, and exposing the component to a temperature that is less than the solvus temperature of the alloy and greater than the temperature to which it is exposed in the Annealing step to cause the A f temperature to be reduced.
Abstract: A method of treating a component formed from a Ni-Ti based shape memory alloy, so that the component exhibits super-elasticity, comprises cold working the component, annealing the alloy while the component is restrained in the configuration resulting from the cold working step, and exposing the component to a temperature that is less than the solvus temperature of the alloy and greater than the temperature to which it is exposed in the annealing step to cause the A f temperature to be reduced.

Journal ArticleDOI
TL;DR: In this article, a comprehensive SAXS and DSC investigation was conducted to determine the relationship between crystallization temperature, crystallite thickness, rate of crystallization, and melting points.
Abstract: As shown by time- and temperature dependent SAXS experiments, crystals of s-PP do not change their thickness during isothermal crystallization and a subsequent heating to melting. This allows an accurate determination of the relations between crystallization temperature, crystallite thickness, rate of crystallization, and melting points. There are five main results obtained in a comprehensive SAXS and DSC investigation: (i) Crystals have greatly varying stabilities, in spite of their uniform thickness; the first crystals melt close to the crystallization temperature. (ii) Melting points are affected by the distance to neighboring crystals. (iii) Crystals perfect during heating and annealing. (iv) Recrystallization after melting, as observed for low enough heating rates, starts with crystal growth rates that are at least 2 orders of magnitude higher than for a primary crystallization, and then slows down progressively, being accompanied by an increase in crystal thickness. (v) The dynamic signals observed...

Journal ArticleDOI
TL;DR: A review of the atomic structures found on hexagonal SiC surfaces is presented in this article, where the topmost surface layer arrangement and thus the surface morphology seem to be dependent on polytype and orientation of the sample, and on the particular preparation treatment.
Abstract: A review of the atomic structures found on hexagonal SiC surfaces is presented. Ex situ preparation methods such as oxidation and subsequent etching with hydrofluoric acid or hydrogen etching generate nearly bulk truncated surfaces whose dangling bonds are saturated by atomic or molecular adspecies. These surfaces exhibit the two-dimensional periodicity of a SiC bulk bilayer. The topmost surface layer arrangement and thus the surface morphology seem to be dependent on polytype and orientation of the sample, and on the particular preparation treatment. In several cases of nominally Si rich orientated samples such as 6H-SiC(0001) step bunching can be found. Then a linear surface layer stacking is preferred. On the nominally carbon rich orientation, for example 6H-SiC(0001), mostly single steps are present. Heating the samples in vacuum in combination with Si evaporation leads to different reconstructed phases with a stoichiometry depending on annealing temperature and Si flux. The atomic structure of these phases is still under debate. On the Si rich orientation a (3 x 3) phase is generated upon large Si exposure. Its surface presumably consists of a Si bilayer and additional Si adatoms. Lower silicon flux during annealing or heating the (3 x 3) phase leads to the development of a (√3 x √3)-R30° phase. This phase can also be obtained starting on an ex situ prepared sample by heating alone. Adatom, adcluster and vacancy models have been proposed in the literature for the (√3 x √3)-R30° phase. On the opposite sample orientation the development of a (1 x 1) phase was reported. Further annealing causes silicon depletion for both surface polarities. On the Si side a phase of apparent (6 √3 x 6√3)-R30° periodicity develops while on the carbon side a carbon rich (3 x 3) phase was found. Eventually, heating leads to the development of graphitic overlayers.

Journal ArticleDOI
TL;DR: In this article, a nanocrystalline spinel ferrite phase, MnFe 2 O 4, was formed after annealing at 600-700°C, and found to exhibit good soft magnetic properties.

Journal ArticleDOI
TL;DR: In this article, the depth distribution of Ge implanted into thermally grown SiO2 films has been studied after annealing using transmission electron microscopy, Rutherford backscattering spectrometry, and x-ray diffraction.
Abstract: The depth distribution of Ge implanted into thermally grown SiO2 films has been studied after annealing using transmission electron microscopy, Rutherford backscattering spectrometry, and x-ray diffraction. At annealing temperatures above 900 °C a significant redistribution of the as-implanted Ge profile was found. Crystalline Ge nanoclusters embedded in the SiO2 matrix are formed within a cluster band with well defined boundaries. The evolution of nanoclusters can be explained qualitatively by a model based on nucleation, growth and Ostwald ripening of Ge precipitates. Besides, chemical and interface reactions lead to the formation of additional Ge peaks near the surface and at the Si/SiO2 interface.

Journal ArticleDOI
TL;DR: In this paper, the possibility of electrodeposition of soft gold from a thiosulfate-sulfite bath was explored for electronics applications, and the results showed that the lowest Vickers hardness values achieved were approximately 80 kg mm-2 in the as-deposited state and 50 kg mm -2 after annealing at 350°C for 30 min in air.
Abstract: The possibility of electrodeposition of soft gold from a thiosulfate-sulfite bath was explored for electronics applications. The bath does not contain cyanide, and it is operated at a near neutral pH and a mildly elevated temperature. The bath is stable, does not undergo spontaneous decomposition without the addition of any stabilizer, and yields gold deposits with a hardness sufficiently low for use as gold bumps on semiconductor devices. Factors affecting the hardness were investigated in detail. It is shown that the use of high concentrations of the complexing agents and/or the addition of thallium(I) ions decreases both hardness and sulfur content of the deposit. The lowest Vickers hardness values achieved were approximately 80 kg mm -2 in the as-deposited state and 50 kg mm -2 after annealing at 350°C for 30 min in air. The relationship between hardness and microstructure of the deposit was also examined.

Journal ArticleDOI
TL;DR: In this article, the features of structure and thermal stability of submicron grained copper and nickel processed by severe plastic deformation are considered and the results of studies by various techniques: transmission electron microscopy, X-ray diffraction, differential scanning calorimetry, electrical resistance and microhardness are presented.
Abstract: The features of structure and thermal stability of submicron grained copper and nickel processed by severe plastic deformation are considered. The results of studies by various techniques: transmission electron microscopy, X-ray diffraction, differential scanning calorimetry, electrical resistance and microhardness are presented. The investigations have shown that thermal stability of submicron grained materials is determined not only by a mean grain size but also by the density and distribution of the grain boundary dislocations. The relaxation of the grain boundary dislocations precedes the grain growth starting at 175°C and influences on thermal stability.

Journal ArticleDOI
01 Apr 1997-Wear
TL;DR: In this paper, a simple flash annealing procedure was proposed that results in the formation of an ultralow-friction surface film on vanadium diboride surfaces.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate the deposition of ZnS thin films with a thickness of 0.04 to m at temperatures ranging from 25 to C from chemical baths comprising zinc sulphate, triethanolamine and thioacetamide at pH of about 10.
Abstract: We demonstrate the deposition of ZnS thin films with a thickness of 0.04 to m at temperatures ranging from 25 to C from chemical baths comprising zinc sulphate, triethanolamine and thioacetamide at pH of about 10. The as-deposited films do not show crystallinity, are very resistive (conductivity ) and possess no photosensitivity. Annealing of the films in air at 450 to C for 1 - 2 h leads to partial conversion of the ZnS films to ZnO films. This is accompanied by an increase in the photoconductivity by more than six orders of magnitude. The optical bandgap is >3.85 eV in the as-prepared films; after annealing in air the value drops to about 3.7 eV.

Journal ArticleDOI
TL;DR: In this paper, a single chamber system for plasma-enhanced chemical vapor deposition was employed to deposit different films of SiOx:N,H with 0.85⩽x ⩽1.91, which are studied by Fourier transform infrared transmission spectroscopy.
Abstract: A single chamber system for plasma-enhanced chemical vapor deposition was employed to deposit different films of SiOx:N,H with 0.85⩽x⩽1.91, which are studied here by Fourier transform infrared transmission spectroscopy. The sample composition was determined by Rutherford backscattering spectrometry, nuclear reaction, and elastic recoil detection analysis. Moreover, physical properties such as thickness uniformity, deposition rate, density, wet and dry etch rates, and stress are determined. A quantitative study of Si–OH, N–H, and Si–H bonds was performed and interpreted on the basis of the random bonding model; in addition, the presence of NH2, Si–O–Si, H2SiO2, and Si–N groups was detected. The effect of sample annealing at 600 and 900 °C was studied and two species of Si–H bonds were identified, one more stable and the other one easily releasable. A reordering effect of annealing was also detected as a reduction of the amorphous network stress and as the increase of the bond angle in the Si–O–Si groups up...

Journal ArticleDOI
TL;DR: In this article, the sheet resistance, optical transmittance and microstructure of tin-doped indium oxide (ITO) thin films (50-100-nm thick) rf sputter deposited on polymer substrates are investigated using a four-point probe, spectrophotometer, X-ray diffractometer and a transmission electron microscope (TEM).