scispace - formally typeset
Search or ask a question

Showing papers on "Annealing (metallurgy) published in 2000"


Journal ArticleDOI
TL;DR: In this paper, the structure of ultrathin ZrO2 layers on Si(001) using medium energy ion scattering and cross-sectional transmission electron microscopy was examined.
Abstract: We have examined the structure of ultrathin ZrO2 layers on Si(001) using medium energy ion scattering and cross-sectional transmission electron microscopy. Films can be deposited on SiO2 layers with highly abrupt interfaces by atomic layer deposition. On HF stripped Si(001), nucleation was inhibited, resulting in poorer film morphology. ZrO2 showed remarkable stability against silicate formation, with no intermixing even after high temperature oxidation. The oxide is vulnerable to high temperature vacuum annealing, with silicidation occurring at temperatures above 900 °C.

696 citations


Journal ArticleDOI
TL;DR: In this paper, strong room-temperature photoluminescence (PL) in the wavelength range 650-950 nm has been observed in high temperature annealed (1000-1300 °C) substoichiometric silicon oxide (SiOx) thin films prepared by plasma enhanced chemical vapor deposition.
Abstract: Strong room-temperature photoluminescence (PL) in the wavelength range 650–950 nm has been observed in high temperature annealed (1000–1300 °C) substoichiometric silicon oxide (SiOx) thin films prepared by plasma enhanced chemical vapor deposition. A marked redshift of the luminescence peak has been detected by increasing the Si concentration of the SiOx films, as well as the annealing temperature. The integrated intensity of the PL peaks spans along two orders of magnitude, and, as a general trend, increases with the annealing temperature up to 1250 °C. Transmission electron microscopy analyses have demonstrated that Si nanocrystals (nc), having a mean radius ranging between 0.7 and 2.1 nm, are present in the annealed samples. Each sample is characterized by a peculiar Si nc size distribution that can be fitted with a Gaussian curve; by increasing the Si content and/or the annealing temperature of the SiOx samples, the distributions become wider and their mean value increases. The strong correlation betw...

508 citations


Journal ArticleDOI
Y Natsume1, H Sakata1
TL;DR: In this article, electrical conductivity and optical properties of undoped zinc oxide films prepared by the sol-gel process using a spin-coating technique were investigated, and it was shown that the optical band gap energy for the films was 3.20-3.21 eV and the electronic transition was of the direct transition type.

454 citations


Journal ArticleDOI
TL;DR: In this paper, capacitance and voltage measurements for metal-oxide-semiconductor capacitors fabricated using the 4H polytype of silicon carbide doped with either nitrogen (n) or aluminum (p).
Abstract: Results of capacitance–voltage measurements are reported for metal–oxide–semiconductor capacitors fabricated using the 4H polytype of silicon carbide doped with either nitrogen (n) or aluminum (p). Annealing in nitric oxide after a standard oxidation/reoxidation process results in a slight increase in the defect state density in the lower portion of the band gap for p-SiC and a significant decrease in the density of states in the upper half of the gap for n-SiC. Theoretical calculations provide an explanation for these results in terms of N passivating C and C clusters at the oxide–semiconductor interface.

453 citations


Journal ArticleDOI
TL;DR: In this article, the effect of composition, microstructure, and defect chemistry on sensing performance of gas sensors based on CuO-doped SnO2 was investigated using sol-gel derived nano-sized powders (about 20 nm).
Abstract: The effect of composition, microstructure, and defect chemistry on sensing performance of gas sensors based on CuO-doped SnO2 is investigated using sol–gel derived nano-sized powders (about 20 nm). The particle size of copper oxide doped tin oxide is varied by annealing at different temperatures and a significant grain growth is observed at temperatures above 1000°C due to the liquid phase sintering effect of copper oxide. The reduction of particle size to nanometers, or to the dimension comparable to the thickness of charge depletion layer, leads to a dramatic improvement in sensitivity and speed of response. It appears that the substitution of Sn by Cu in the cassiterite structure increases the concentration of oxygen vacancies and decreases the concentration of free electrons. In particular, the existence of cuprous ions (Cu+), due to partial reduction of Cu2+ during sintering, plays an important role in enhancing the sensor response to nitric oxide (NO) and CO2.

343 citations


Journal ArticleDOI
TL;DR: Aluminum-induced crystallization of amorphous silicon is studied as a promising low-temperature alternative to solid-phase and laser crystallization in this article, where the overall process of the Al and Si layer exchange during annealing at temperatures below the eutectic temperature of 577 °C is investigated by various microscopy techniques.
Abstract: Aluminum-induced crystallization of amorphous silicon is studied as a promising low-temperature alternative to solid-phase and laser crystallization. Its advantages for the formation of polycrystalline silicon on foreign substrates are the possible usage of simple techniques, such as thermal evaporation and dc magnetron sputtering deposition, and relatively short processing times in the range of 1 h. The overall process of the Al and Si layer exchange during annealing at temperatures below the eutectic temperature of 577 °C is investigated by various microscopy techniques. It is shown that the ratio of the Al and a-Si layer thicknesses is vitally important for the formation of continuous polycrystalline silicon films on glass substrates. The grain size of these films is dependent on the annealing temperature and evidence is given that grain sizes of 20 μm and more can be achieved. The poly-Si films are described as solid solutions containing 3×1019 cm−3 Al atoms as solute. Only a fraction of the solute is...

342 citations


Journal ArticleDOI
TL;DR: In this article, the authors studied the effects of increasing amounts of deformation and subsequent annealing on the evolution of microstructure and microhardness of coarse-grained copper.
Abstract: Coarse-grained copper was subject to high-pressure torsion (HPT) and thermal treatment to study the effects of increasing amounts of deformation and subsequent annealing on the evolution of microstructure and microhardness. Cellular subgrains with low-angle grain boundaries were first formed at low strain. Some of the low-angle subgrain boundaries transformed to high-angle grain boundaries at higher strains, refining the average grain size from 200 μm to 150 nm. X-ray diffraction patterns showed the formation of crystallographic texture. Microhardness increased monotonically with increasing torsional strain. High internal stress and nonequilibrium grain boundaries were observed in unannealed samples. Annealing as-deformed samples at temperatures as low as 50°C decreased the microhardness, indicating a very low thermal stability of the deformation induced microstructures. Differential scanning calorimetry (DSC) revealed an exothermal peak between 180 and 280°C, caused by recrystallization. Annealing twins were also formed during recrystallization.

317 citations


Patent
31 Aug 2000
TL;DR: In this article, a substrate is formed by depositing an organic semiconductor film via a lower cost method such as printing or spin coating on a support substrate, and a portion of a solvent is vaporized to bring the vapor into contact with the film.
Abstract: A process for forming a relatively high quality, lower cost organic semiconductor film is provided. A substrate is formed by depositing an organic semiconductor film via a lower cost method such as printing or spin coating on a support substrate. A portion of a solvent is vaporized to bring the vapor into contact with the film. The chemical potential of the vapor molecules is controlled to provide an interaction with the organic semiconductor film to alter the molecular arrangement of the film. The process further entails placing the substrate on a first temperature controlled stage and placing the solvent on a second temperature controlled stage. The chemical potential of the vapor is adjusted by controlling the temperature of the solvent. Appropriate annealing conditions are obtained by adjusting the temperature of the solvent, the substrate, and the anneal time. The process can assist manufacturing of lower cost displays that utilize arrays of organic thin-film transistors.

253 citations


Journal ArticleDOI
TL;DR: In this paper, the thermal decomposition pathway of an ultrathin oxide layer on Ge(100) and Si (100) surfaces is examined by synchrotron radiation photoelectron spectroscopy and ultraviolet photo-electron Spectroscopy with helium I radiation.
Abstract: The thermal decomposition pathway of an ultrathin oxide layer on Ge(100) and Si(100) surfaces is examined by synchrotron radiation photoelectron spectroscopy and ultraviolet photoelectron spectroscopy with helium I radiation. The as-prepared oxide layer consists of a mixture of oxides, namely, suboxides and dioxides, on both the surfaces. Upon annealing, the oxide layers decompose and desorb as monoxides. However, we find that the decomposition pathways are different from each other. On annealing Ge oxides, GeO2 species transform to GeO and remain on the surface and desorb at >420 °C. In contrast, annealing of Si oxides results in the transformation of SiO to SiO2 up to temperatures (∼780 °C) close to the desorption. At higher temperatures, SiO2 decomposes and desorbs, implying a reverse transformation to volatile SiO species.

251 citations


Journal ArticleDOI
TL;DR: In this paper, structural, microstructural, interfacial, and surface morphological properties of Ba 0.60 Sr 0.40 TiO 3 thin films Mg doped from 0 to 20 mol%.

229 citations


Journal ArticleDOI
TL;DR: In this paper, the structure and magnetic behavior of nanostructured powders of stoichiometric NiZn ferrite, Ni0.5Zn 0.5Fe2O4, synthesized by coprecipitation, are investigated by extended x-ray-absorption fine structure spectroscopy (EXAFS), xray diffraction, and vibrating sample magnetometry.
Abstract: The structure and magnetic behavior of nanostructured powders of stoichiometric NiZn ferrite, Ni0.5Zn0.5Fe2O4, synthesized by coprecipitation, are investigated by extended x-ray-absorption fine structure spectroscopy (EXAFS), x-ray diffraction, Mossbauer spectroscopy, and vibrating sample magnetometry. Samples of high purity and high homogeneity were obtained by annealing at relatively low temperatures (300–800 °C) resulting in nanoparticles with average diameter between 9 and 90 nm, as determined by x-ray diffraction. EXAFS was applied to follow Ni, Zn, and Fe cations distribution and the evolution of the short range order of the samples with increasing annealing temperature. Our results show ferrimagnetic NiZn ferrite nanosized powders with high purity, 1:1 Ni to Zn stoichiometric ratio and superparamagnetic behavior. Moreover, the samples exhibit good structural ordering already after heat treatment at 400 °C. Analysis by vibrating sample magnetometry indicated a critical particle diameter for the tran...

Patent
11 Dec 2000
TL;DR: In this paper, the authors used tetramethylsilane (TMS) as the silicon-containing precursor and showed that post deposition annealing in forming gas at or below the deposition temperatures has been shown to be very effective in improving the PETMS oxide properties.
Abstract: Silicon dioxide thin film have been deposited at temperatures from 25° C. to 250° C. by plasma enhanced chemical vapor deposition (PECVD) using tetramethylsilane (TMS) as the silicon containing precursor. At these temperatures, the PETMS oxide films have been found to exhibit adjustable stress and adjustable conformality. Post deposition annealing in forming gas at or below the deposition temperatures has been shown to be very effective in improving the PETMS oxide properties while preserving the low temperature aspect of the PETMS oxides.

Journal ArticleDOI
TL;DR: In this article, the hydrogen desorption kinetics of mechanically milled MgH2+5at.%V nanocomposite were determined under various desorptions pressures and temperatures.

Journal ArticleDOI
01 Feb 2000-Polymer
TL;DR: In this article, a temperature-modulated DSC (TMDSC) is used to characterize melting and recrystallization in polymers exhibiting multiple melting endotherms.

Journal ArticleDOI
TL;DR: In this paper, the contact resistance between a carbon nanotube and metal electrodes decreases by several orders of magnitude and becomes long-term stable when the nanotubes contacted by Ti-Au electrodes was annealed by a rapid thermal annealing method at 600-800 °C for 30 s.
Abstract: The contact resistance between a carbon nanotube and metal electrodes decreases by several orders of magnitude and becomes long-term stable when the nanotube contacted by Ti-Au electrodes was annealed by a rapid thermal annealing method at 600-800 °C for 30 s. The contact resistances of the annealed samples are in the range 0.5-50 kΩ at room temperature, depending on the electrical properties of the nanotube. The short and relatively low-temperature annealing process enables us to make a surface Ti-nanotube contact suitable for electrical measurements. For the samples with relatively low contact resistances (0.5-5 kΩ) at room temperature, the contact resistance remained constant or decreased slightly as the temperature was lowered. Those with a relatively high contact resistance (5-50 kΩ), on the other hand, showed increasing contact resistance with a lowering of the temperature.

Journal ArticleDOI
TL;DR: In this paper, the sp2 phase was found to dominate the effect of other parameters, such as chemical composition, surface termination, sp3 content, or conductivity, and the optimum size of the sp 2 phase was determined by Raman spectroscopy and is of the order of 1 nm.
Abstract: Electron field emission from amorphous carbon is found to depend on the clustering of the sp2 phase. The size of the sp2 phase is varied by thermal annealing and it dominates the effect of other parameters, such as chemical composition, surface termination, sp3 content, or conductivity. The optimum size of the sp2 phase is determined by Raman spectroscopy and is of the order of 1 nm. The field emission originates from the sp2 regions and is facilitated by the large field enhancement from more conductive sp2 clusters in an insulating sp3 matrix.

Journal ArticleDOI
TL;DR: In this article, the performance of ITO-metal-ITO (IMI) multilayers and ITO single layers with different oxygen concentrations of the sputtering atmosphere during ITO deposition, and different substrate temperatures during a subsequent annealing treatment in vacuum.

Journal ArticleDOI
TL;DR: In this article, the anomalous magnetic behavior observed in antiphase-boundary-free cobalt ferrite thin films can be explained in terms of cation distribution and lattice distortions.
Abstract: Epitaxial cobalt ferrite thin films provide a model system for understanding the magnetic properties of spinel structure ferrite thin films We demonstrate that the anomalous magnetic behavior, observed in our antiphase-boundary-free films, can be explained in terms of cation distribution and lattice distortions The magnetic anisotropy is a delicate balance between strain anisotropy, due to internal lattice strain or equivalent external strain effects, and magnetocrystalline anisotropy, due to cation distribution By annealing the cobalt ferrite thin films and hence varying the cobalt cation distribution among the tetrahedral and octahedral sites and the strain state of the films, we are able to tune the symmetry and the magnitude of the magnetic anisotropy

Journal ArticleDOI
TL;DR: The effects of hydrogen annealing on capacitance-voltage characteristics and interface-state density of 4H-SiC metal-oxide-semiconductor (MOS) structures have been investigated in this article.
Abstract: The effects of hydrogen annealing on capacitance–voltage (C–V) characteristics and interface-state density (Dit) of 4H–SiC metal–oxide–semiconductor (MOS) structures have been investigated. The Dit was reduced to as low as 1×1011 eV−1 cm−2 at Ec−E=0.6 eV using hydrogen annealing above 800 °C, where Ec−E is the energy level from the conduction-band edge. Secondary ion mass spectroscopy and Dit analysis revealed that Dit decreased with the increase of hydrogen concentration accumulated at the SiO2/4H–SiC interface. The interface states at SiO2/4H–SiC are thought to be originated from the dangling bonds of C atoms as well as Si atoms, because Dit decreases as the hydrogen annealing temperature increases and saturates around 800 °C. This high-temperature hydrogen annealing is useful for accumulation-type SiC metal–oxide–semiconductor field-effect transistors, which have n-type MOS structures to reduce the Dit.

Journal ArticleDOI
TL;DR: In this article, a narrow potential well has been formed on the surface of the ZnO thin films due to high density of surface states and negatively biasing the thin films during STS measurement.
Abstract: ZnO thin films were grown on silicon (100) by pulsed laser deposition. Highly textured crystalline ZnO thin films can be grown at 600 °C. The films were then annealed at 600 °C in oxygen. The effects of annealing on chemical composition of the ZnO films were investigated by x-ray photoelectron spectroscopy (XPS) and Raman spectroscopy. The XPS spectra indicate that water has been adsorbed and then dissociated into H and OH groups. The surface properties of ZnO were studied both by scanning tunneling microscopy and scanning tunneling spectroscopy (STS). A narrow potential well has been formed on the surface of the ZnO thin films due to high density of surface states and negatively biasing the ZnO thin films during STS measurement. The discrete energy levels can be measured by STS.

Journal ArticleDOI
TL;DR: In this paper, the thermal stability of ultrafine grained low carbon steel manufactured by the equal channel angular pressing technique was examined by imposing the static annealing treatment for 1h at various temperatures of 693-873 K.

Patent
26 Jul 2000
TL;DR: In this article, a tellurium-containing nanocrystallites are produced by injection of a precursor into a hot coordinating solvent, followed by controlled growth and annealing.
Abstract: Tellurium-containing nanocrystallites are produced by injection of a precursor into a hot coordinating solvent, followed by controlled growth and annealing. Nanocrystallites may include CdTe, ZnTe, MgTe, HgTe, or alloys thereof. The nanocrystallites can photoluminesce with quantum efficiencies as high as 70%.

Journal ArticleDOI
TL;DR: In this paper, annealing of ZnO layers was done in N 2 or O 2 atmosphere and their effects were studied and it was shown that the number of interstitial Zn (Zn i ) and O vacancies (V O ) decrease, probably because the effective incorporation of O atom diminishes those donor levels.

Journal ArticleDOI
TL;DR: In this article, the changes of electrical conductivity during annealing at 1273 K in stabilized zirconia with 8 mol% Y 2 O 3 (8YSZ), 8mol% Sc 2 O3 (8ScSZ), and 11 mol% Sc O 3(11ScSz) have been examined.

Journal ArticleDOI
TL;DR: In this article, the relation of the progress of crystallization in indium-oxide thin films to the change of electrical properties was studied and compared with the results on indium tin-oxide (INO) thin films.

Journal ArticleDOI
Di Wu, Aidong Li, Tao Zhu, Zhiguo Liu, Nai-Ben Ming 
TL;DR: In this article, the layered perovskite phase was obtained by rapid thermal annealing the spin-on films at 650 or 700 ˚C for 180 s. Scanning electron micrographs showed uniform surfaces composed of spherical grains.
Abstract: Ferroelectric Bi3.25La0.75Ti3O12 (BLT) thin films were prepared on platinum coated silicon substrate by chemical solution deposition. The layered-perovskite phase was obtained by rapid thermal annealing the spin-on films at 650 or 700 °C for 180 s. Scanning electron micrographs showed uniform surfaces composed of spherical grains. The grain size increased with increasing annealing temperature. The remanent polarization and coercive field of 650 °C annealed film were 12.3 μC/cm2 and 48.9 kV/cm, respectively, and those of 700 °C annealed films were 18.2 μC/cm2 and 51.1 kV/cm. BLT thin films showed little polarization fatigue under 250 kV/cm bipolar cycling at 50 kHz, while fatigue properties deteriorated with decreasing cycling field and frequency. At various frequencies from 1 Hz to 50 kHz, nonvolatile polarization Pnv showed nearly no degradation over an initial period of cycling, then decayed logarithmically with switching cycles. The onset of logarithmic decay of Pnv was found to increase linearly with ...

Journal ArticleDOI
TL;DR: In this article, the electrical properties of polysilazane-derived silicon carbonitride ceramics were studied depending on pyrolysis conditions and subsequent annealing.
Abstract: Electrical properties such as d.c.- and a.c.-conductivity, permittivity as well as thermopower of polysilazane-derived silicon carbonitride ceramics were studied depending on the pyrolysis conditions and subsequent annealing. The electrical properties were analysed to be extremely sensitive with respect to variations of the chemical composition, the solid state structure and the microstructure of the Si–C–N materials. Therefore, electrical investigations can be an important tool for the non-destructive characterisation of novel multicomponent carbide-nitride-based ceramics. In particular the d.c.-conductivity can be controlled within 15 orders of magnitude by (i) temperature, (ii) atmosphere and (iii) annealing time applied during synthesis. The main mechanism, which is proposed for the transport of charge carriers in the amorphous, highly disordered silicon carbonitride is the tunnelling of large polarons. In contrast, the electrical conductivity of the crystallised SiC/Si3N4-counterpart is dominated by the transport of electrons in the conduction band of nitrogen doped SiC particles.

Journal ArticleDOI
TL;DR: In this article, the effect of rapid thermal annealing (RTA) on GaInNAs/GaAs quantum wells (QWs) grown by molecular-beam epitaxy using a dc plasma as the N source was studied.
Abstract: We have studied the effect of rapid thermal annealing (RTA) on GaInNAs/GaAs quantum wells (QWs) grown by molecular-beam epitaxy using a dc plasma as the N source. It was found that RTA at low temperature (LT, 650 degrees C) and high temperature (HT, 900 degrees C) could both improve the QW quality significantly. To clarify the mechanism of quality improvement by RTA, a magnetic field perpendicular to the path of the N plasma flux was applied during the growth of the GaInNAs layers for the sake of comparison. It was found that LT-RTA mainly removed dislocations at interfaces related to the ion bombardment, whereas, HT-RTA further removed dislocations originating from the growth. LT-RTA caused only a slight blueshift of photoluminescence peak wavelength, probably due to defect-assisted interdiffusion of In-Ga at the QW interfaces. The blueshift caused by HT-RTA, on the other hand, was much larger. It is suggested that this is due to the fast defect-assisted diffusion of N-As at the QW interfaces. As defects are removed by annealing, the diffusion of In-Ga at interfaces would be predominant. (C) 2000 American Institute of Physics. [S0003- 6951(00)01535-7].

Journal ArticleDOI
TL;DR: In this article, Zirconium oxide thin films were grown by atomic layer deposition (ALD) at low temperatures, ranging from 150°C to 300°C, by alternate surface reactions between Zr[OC(CH 3 ) 3 ] 4 and H 2 O. The permittivity of the nanocrystalline films was approximately 32.95, measured at a wavelength of 580 nm.
Abstract: Zirconium oxide thin films were grown by atomic layer deposition (ALD) at low temperatures, ranging from 150°C to 300°C, by alternate surface reactions between Zr[OC(CH 3 ) 3 ] 4 and H 2 O. The films grown in the temperature range 200-300°C were nanocrystalline. No films could be deposited above 300°C. The refractive index of the films reached 1.95, measured at a wavelength of 580 nm. The permittivity of the nanocrystalline films was approximately 32. The permittivity and resistivity of the films were increased by depositing ZrO 2 -Ta 2 O 5 nanolaminates and annealing in ambient air.

Journal ArticleDOI
TL;DR: In this paper, the crystallization and nanoindentation behavior of a Zr-10Al, 5Ti, 17.9Cu, 14.6Ni bulk amorphous alloy (BAA) were studied.
Abstract: The crystallization and nanoindentation behavior of a Zr–10Al–5Ti–17.9Cu–14.6Ni (at.%) bulk amorphous alloy (BAA) were studied. Resulting from the kinetic nature of phase transformation in multicomponent alloys, the crystallization path is complex. Despite the complexity of different crystallization paths, the main final crystallized product in the Zr-based BAA is Zr2Cu. Young’s modulus and hardness of the BAA were found to increase with an increase in annealing temperature. The observed mechanical properties were correlated with the microstructure of the material. Also, in the present paper, both the observed crystallization and nanoindentation behavior are compared with existing data. Zr-based BAAs exhibit a ratio of hardness to Young’s modulus (H/E ratio) of about 1/10, suggesting the interatomic bonding in the alloys is close to being covalent.