scispace - formally typeset
Search or ask a question

Showing papers on "Anodic bonding published in 1999"


Journal ArticleDOI
A Plößl1
TL;DR: In this article, the authors provide an overview of the current understanding of the factors determining the bondability and strength of the bonding obtainable and assess the present state of the experimental methods for determining basic parameters governing the adhesion.
Abstract: It is a well-known phenomenon that two solids with sufficiently flat surfaces can stick to each other when brought into intimate contact in ambient air at room temperature. The attraction between the two bodies is primarily mediated through van der Waals forces or hydrogen bonding. Without a subsequent heating step, that type of bonding is reversible. Annealing may increase the energy of adhesion up to the cohesive strength of the materials concerned. The wafer bonding phenomena in brittle materials systems, especially in silicon, is reviewed in the experiment. The focus is on low temperature bonding techniques. The pivotal influence chemical species on the surfaces have on the subsequent type of bonding (van der Waals, hydrogen, covalent bonding, mechanical interlocking) is discussed. Methods of modifying the surface chemistry for tailoring bonding properties are addressed. The paper is aimed at providing an overview of the current understanding of the factors determining the bondability and strength of the bonding obtainable. The authors assess the present state of the experimental methods for determining basic parameters governing the adhesion. A number of examples illustrate the applicability of fusion bonding for as diverse fields as opto-electronics, microsystems technology, and fabrication of advanced substrates like silicon-on-insulator wafers.

389 citations



Journal ArticleDOI
TL;DR: In this paper, the effect of surface microroughness on the bondability was studied on the basis of the theory of contact and adhesion of elastic solids, and an effective bonding energy, the maximum of which is the specific surface energy of adhesion, was proposed to describe the real binding energy of the bonding interface.
Abstract: A theory is presented which describes the initial direct wafer bonding process. The effect of surface microroughness on the bondability is studied on the basis of the theory of contact and adhesion of elastic solids. An effective bonding energy, the maximum of which is the specific surface energy of adhesion, is proposed to describe the real binding energy of the bonding interface, including the influence of the wafer surface microroughness. Both the effective bonding energy and the real area of contact between rough surfaces depend on a dimensionless surface adhesion parameter, theta. Using the adhesion parameter as a measure, three kinds of wafer contact interfaces can be identified with respect to their bondability; viz. the nonbonding regime (theta > 12), the bonding regime (theta < 1), and the adherence regime (1 < theta < 12). Experimental data are in reasonable agreement with this theory

171 citations


Journal ArticleDOI
TL;DR: The probability and appropriate processing parameters for diffusion bonding of a titanium alloy to a stainless steel web with an Ni interlayer have been investigated in this paper, and the microstructure of the diffusion bonding joint has been observed by microscopy, SEM, and EPMA, and the main factors affecting diffusion bonding have been analyzed.

165 citations


Journal ArticleDOI
TL;DR: In this article, the generic nature of both wafer bonding and hydrogen-implantation-induced layer splitting is discussed, as well as the basic processes underlying the two processes and examples of bonding and layer splitting of bare or processed semiconductor and oxide wafers.
Abstract: In advanced microsystems various types of devices (metal-oxide semiconductor field-effect transistors, bipolar transistors, sensors, actuators, microelectromechanical systems, lasers) may be on the same chip, some of which are 3D structures in nature. Therefore, not only materials combinations (integrated materials) are required for optimal device performance of each type but also process technologies for 3D device fabrication are essential. Wafer bonding and layer transfer are two of the fundamental technologies for the fabrication of advanced microsystems. In this review, the generic nature of both wafer bonding and hydrogen-implantation-induced layer splitting are discussed. The basic processes underlying wafer bonding and the layer splitting process are presented. Examples of bonding and layer splitting of bare or processed semiconductor and oxide wafers are described.

153 citations


Proceedings ArticleDOI
01 Jan 1999
TL;DR: In this paper, the authors describe the design and measurement results of a new silicon piezoelectric micropump developed to be the heart of an implantable drug infusion system.
Abstract: This paper describes the design and the measurement results of a new silicon piezoelectric micropump developed to be the heart of an implantable drug infusion system. The device is based on silicon bulk micromachining, silicon pyrex anodic bonding and piezoelectric actuation. This pumping mechanism has been designed for maximum safety and reliability together with high open-loop accuracy (/spl plusmn/10%) in a low flow rate range (0-100 /spl mu/1/h). It ensures constant stroke volume (150 nl) over a wide range of working conditions through the implementation of a double limiter, controlling the range of the pumping membrane. Consequently, the flow rate is linear with actuation frequency and virtually insensitive to inlet and outlet pressure, actuation voltage, temperature, viscosity and aging. The pump is self-priming with a stroke over dead volume ratio /spl Delta/V/V/sub 0/=1.15. It also features an on-chip barrier filter for particle protection and a functional detector. More than 100 pumps of the last generation have been fabricated and fully tested.

105 citations


Journal ArticleDOI
TL;DR: In this article, the surfaces of the specimens are etched by fast argon atom beam and bonded to each other in vacuum, achieving bonding strength equivalent to that of the bulk material without any heat treatment.
Abstract: The residual stress originating form the thermal expansion mismatch has been a serious problem in the bonding of piezoelectric crystals onto silicon wafers. The room-temperature bonding method using argon-beam surface activation is applied to the bonding of lithium niobate and silicon. In this method, the surfaces of the specimens are etched by fast argon atom beam and bonded to each other in vacuum. Bonding strength equivalent to that of the bulk material is achieved without any heat treatment. Transmission electron microscope observations show intimate contact at the interface. This method is quite suitable for bonding dissimilar materials with thermal expansion mismatch, because the bonding is performed at room temperature throughout the whole process and no thermal stress is generated.

99 citations


Patent
02 Jun 1999
TL;DR: In this article, a profile of the wafer surfaces is used to define microstructures that increase the surface area of a wafer to which the bonding material can adhere, and the edges of the bonded assembly are sealed by a sealant which extends through narrow capillary spaces between the two bonded wafers.
Abstract: Wafer-to-wafer bonding using, e.g., solder metal bonding, glass bonding or polymer (adhesive) bonding is improved by profiling one or both of the wafer surfaces being bonded to define microstructures therein. Profiling means providing other than the conventional planar bonding surface to define cavities therein. The bonding material fills the cavities in the microstructures. For instance, a system of ridges and trenches (e.g. in cross-section vertical, slanted, key-holed shaped, or diamond-shaped) are microstructures that increase the surface area of the wafers to which the bonding material can adhere. Use of the key-hole shaped or diamond-shaped profile having a negative slope at the trench interior substantially increases the bonding force. Where electrical leads feed through a bond, the seal to the bond at that point is improved by either using lengthened feedthroughs which extend the length of a substantial portion of the bond or by use of doped (diffused) conductive wafer regions rather than metallized feedthroughs. In some cases the bonded surfaces include spacers which define a precisely defined distance between the two wafers, thus providing an exactly specified thickness of the bonding material. In some cases the edges of the bonded assembly are sealed by a sealant which extends through narrow capillary spaces between the two bonded wafers, thus hermetically sealing together the two wafers.

89 citations


Journal ArticleDOI
TL;DR: In this paper, the basic issues associated with semiconductor wafer bonding such as the reactions at the bonding interface during hydrophobic and hydrophilic bonding, as well as during ultrahigh vacuum bonding are discussed.
Abstract: Semiconductor wafer bonding has increasingly become a technology of choice for materials integration in microelectronics, optoelectronics, and microelectromechanical systems. The present overview concentrates on some basic issues associated with wafer bonding such as the reactions at the bonding interface during hydrophobic and hydrophilic wafer bonding, as well as during ultrahigh vacuum bonding. Mechanisms of hydrogen-implantation induced layer splitting (“smart-cut” and “smarter-cut” approaches) are also considered. Finally, recent developments in the area of so-called “compliant universal substrates” based on twist wafer bonding are discussed.

82 citations


Proceedings ArticleDOI
Minoru Sakata1, Y. Komura, Tomonori Seki, K. Kobayashi, K. Sano, S. Horiike 
01 Jan 1999
TL;DR: In this paper, a single crystal silicon based electrostatic actuator is presented, which is fabricated by critical-dimensions-controllable SOI-A/B (Anodic bonding) process.
Abstract: A MMR which utilizes a single crystal silicon based electrostatic actuator is presented in this paper. The MMR is fabricated by critical-dimensions-controllable SOI-A/B (Anodic bonding) process. Size of the MMR is 2.0 mm/spl times/2.5 mm and driving voltage is 24 V. Hot-switching tests with 10 V-10 mA resistive load were performed 10/sup 6/ cycles with around 0.5 ohm relay resistance (sum. of signal line resistance, contact resistance and package resistance). Breakdown voltage (stand off voltage) is larger than 200 V. Switching time was around 03 msec and power consumption was less than 0.05 mW.

81 citations


Patent
Dz-Hung Gwo1
04 Jun 1999
TL;DR: In this article, a method of bonding substrates by hydroxide-catalyzed hydration/dehydration involves applying a bonding material to at least one surface to be bonded, and placing the surface sufficiently close to another surface such that a bonding interface is formed between them.
Abstract: A method of bonding substrates by hydroxide-catalyzed hydration/dehydration involves applying a bonding material to at least one surface to be bonded, and placing the at least one surface sufficiently close to another surface such that a bonding interface is formed between them. A bonding material of the invention comprises a source of hydroxide ions, and may optionally include a silicate component, a particulate filling material, and a property-modifying component. Bonding methods of the invention reliably and reproducibly provide bonds which are strong and precise, and which may be tailored according to a wide range of possible applications. Possible applications for bonding materials of the invention include: forming composite materials, coating substrates, forming laminate structures, assembly of precision optical components, and preparing objects of defined geometry and composition. Bonding materials and methods of preparing the same are also disclosed.

Journal ArticleDOI
TL;DR: In this article, the authors showed that low vacuum effects appear to be associated with a significant reduction of trapped nitrogen at the bonding interface, which prevents an intimate contact of the bonding surfaces during annealing and thus prevents formation of covalent bonds.
Abstract: Compared to bonding wafers in air, bonding of hydrophilic silicon wafers performed in low vacuum leads to much stronger bonds at the bonding interface after annealing at temperatures as low as . The bond energy reached is close to that of thermal silicon oxide itself. For hydrophilic wafer pairs bonded in air, a high bond energy at the bonding interface can also be realized by a low vacuum storage prior to the annealing, or a low vacuum annealing at after bonding. These low vacuum effects appear to be associated with a significant reduction of trapped nitrogen at the bonding interface. Trapped nitrogen prevents an intimate contact of the bonding surfaces during annealing and thus prevents formation of covalent bonds. Because a difference in thermal expansion coefficients is usually present between different wafers, in order to avoid excess thermal stresses the low vacuum bonding approach is crucial for bonding of dissimilar materials in applications such as microelectromechanical systems and has been applied to bonding silicon to materials other than silicon which have hydrophilic surfaces. ©1998 The Electrochemical Society

Journal ArticleDOI
TL;DR: In this paper, the effect of surface roughness on the properties of Al6061 joints fabricated by diffusion bonding in air at 450°C was studied and it was found that rougher surfaces yield superior ultimate tensile strength and linearized bonded ratio.
Abstract: The effect of surface roughness on the properties of Al6061 joints fabricated by diffusion bonding in air at 450°C was studied. It was found that rougher surfaces yield superior ultimate tensile strength and linearized bonded ratio. Joints with ultimate tensile strength comparable to that of bulk metal were obtained for holding times of 75 min using rougher surfaces; however, the maximum linearized bonded ratio obtained was only about 75%. Incomplete bonding was attributed to air entrapment along the bond interface. This was due to good bonding occurring at the periphery of the bonded specimens during the early part of the bonding process.

Patent
04 May 1999
TL;DR: In this article, anodic bonding is used in conjunction with a glass substrate, with the understanding that various types of substrates and bonding/attachment procedures may alternatively be used.
Abstract: Unwanted gasses created during bonding within micromachined vacuum cavities are reduced in a manner conducive to mass manufacturing. Two broad approaches may be applied separately or in combination according to the invention. One method is to deposit a barrier layer within the cavity (for example, on an exposed surface of the substrate). Such a layer not only provides a barrier against gases diffusing out of the substrate, but is also chosen so as to not outgas by itself. Another approach is to use a material which, instead of, or in addition to, acting as a barrier layer, acts as a getterer, such that it reacts with and traps unwanted gases. Incorporation of a getterer according to the invention can be as straightforward as depositing a thin metal layer on the substrate, which reacts to remove the impurities, or can be more elaborate through the use of a non-evaporable getter in a separate cavity in gaseous communication with the cavity. The invention is applicable to a wide range of micromachined devices and structures, including micromachined capacitive pressure sensors and other transducers, though the techniques are not limited to such applications. Anodic bonding is used in conjunction with a glass substrate, with the understanding that various types of substrates and bonding/attachment procedures may alternatively be used.

Patent
Kamran Manteghi1
11 Feb 1999
TL;DR: In this article, a semiconductor integrated circuit package is provided with insulated bonding wires, which are aluminum or copper with an oxygen-plasma oxide formed thereupon to prevent short-circuits with adjacent wires.
Abstract: A semiconductor integrated circuit package is provided with insulated bonding wires. The semiconductor die is mounted to a base of either a leadframe or a grid-array package. A plurality of bonding wires are bonded between bonding pads on the semiconductor die and bonding fingers at inner ends of package conductors. The bonding wires have an oxygen-plasma oxide formed thereupon to thereby provide electrically-insulated bonding wires to prevent short-circuits between adjacent bonding wires. After wire bonding of the bonding wires, the bonding wires are subjected to an oxygen plasma to form an insulating oxide on the bonding wires to prevent short-circuits with adjacent wires. The wires are aluminum or copper with an oxygen-plasma oxide formed thereupon. An oxygen-plasma oxide is simultaneously formed on a leadframe and is removed from the outer ends of the leads by blasting with a medium.

Patent
23 Jan 1999
TL;DR: The use of micromachining, etching and bonding techniques to fabricate hermetically sealed gas-filled chambers from silicon and/or glass wafers is described in this paper.
Abstract: The invention employs the use of micromachining, etching and bonding techniques to fabricate hermetically sealed gas-filled chambers from silicon and/or glass wafers. The hermetically sealed gas-filled chambers have precise dimensions and are filled with a preselected concentration of gas, thus providing exceptional performance for use as an optical gas filter. The microfabricated gas-filled chambers are also durable. The use of fusion and anodic wafer bonding techniques leads to a completely hermetically sealed chamber which is durable even under conditions in which repeated termal cycling occurs.

Journal ArticleDOI
TL;DR: In this article, a method of large-area wafer bonding of GaAs is proposed, where the bonding procedure was carried out in an ultrahigh vacuum and the wafer surfaces were cleaned at 400 and 500°C by application of atomic hydrogen produced by thermal cracking.
Abstract: A method of large-area wafer bonding of GaAs is proposed The bonding procedure was carried out in an ultrahigh vacuum The wafer surfaces were cleaned at 400 and 500 °C by application of atomic hydrogen produced by thermal cracking The wafers were brought into contact either immediately after the cleaning, or at temperatures as low as 150 °C, without application of a load, and successfully bonded over the whole area High-resolution transmission electron microscopy revealed that the wafers could be directly bonded without any crystalline damage or intermediate layer From a mechanical test, the fracture surface energy was estimated to be 07–10 J/m2, which is comparable to that of the bulk fracture Furthermore, this bonding method needs no wet chemical treatment and has no limits to wafer diameter Moreover, it is suitable for low temperature bonding

Journal ArticleDOI
TL;DR: In this paper, a novel technique of vacuum-free diffusion bonding was investigated, where bonding was achieved in the open air with the aid of in situ surface treatment, and the results of the investigation have shown that a joint efficiency of 90% and a percentage bonded area of over 75% can be achieved.

Journal ArticleDOI
TL;DR: In this article, the design and fabrication of a micromachined microfluidic circuitboard is described, which consists of a Pyrex wafer in which trenches and connection holes are etched.
Abstract: This paper reports the design and fabrication of a micromachined microfluidic circuitboard. The circuitboard consists of a Pyrex wafer in which trenches and connection holes are etched. Channels are then formed by anodically bonding a silicon wafer to the Pyrex wafer. On top of this, various microfluidic devices can be mounted via the anodic bonding technique. This allows a simple way of mass production of different microfluidic systems. To realize other microfluidic systems only the mask layout for creating the channels in the Pyrex wafer has to be changed. The microfluidic circuitboard has been successfully fabricated and single devices have been surface mounted. A whole system has been tested and it proved to be functional and without any leakage.

Patent
23 Feb 1999
TL;DR: In this paper, a jig for producing a display device by bonding and integrating the glass substrates for products to each other and intensifying the strength of the glass substrate in production of the display device using the glass mimics comprises a substrate 2 for reinforcement using a glass substrate of the same quality as the quality of the products and an O-ring 3 used for bonding of the polysilicon substrate for reinforcement.
Abstract: PROBLEM TO BE SOLVED: To intensify the strength of glass substrates and to prevent the deflection and cracking of the glass substrates in a production stage even if the glass substrate are reduced in thickness and are formed to a large size. SOLUTION: The jig for producing the display device by bonding and integrating the glass substrates for products to each other and intensifying the strength of the glass substrates in production of the display device using the glass substrates comprises a substrate 2 for reinforcement using the glass substrate of the same quality as the quality of the products and an O-ring 3 used for bonding of the glass substrate 1 for the products and the glass substrate 2 for reinforcement. The glass substrate 1 for the products is bonded and integrated to the glass substrate 2 for reinforcement by using the jig for production of the display device and utilizing the electrostatic attraction force A and vacuum attraction force B of the glass substrates to each other, by which the strength of the glass substrate 1 for the products is intensified and the display device is produced.

Proceedings ArticleDOI
17 Jan 1999
TL;DR: In this article, gold was bonded on silicon substrates (Au/Si) for a MEMS application by using microwave radiation in a singlemode cavity, which formed a hermetically sealed micro-cavity.
Abstract: We bonded gold on silicon substrates (Au/Si) for a MEMS application by using microwave radiation in a single-mode cavity. Microwave radiation selectively heats materials; the energy is deposited in the metallic portion of the substrates in this application. This concentration of the energy forms the bonding rather quickly and with minimal heating of the substrate. The short bonding process-time allows for minimal diffusion of the Si into the metallization. Since no pressure is applied to form the bonding, mechanical stresses are minimized. The substrates bonded by our technique formed a hermetically sealed micro-cavity. Preliminary He leak-tests from these bonded samples show leak-rates on the order of 3/spl times/10/sup 9/ atom cc/s.

Proceedings ArticleDOI
01 Jan 1999
TL;DR: The feasibility of localized bonding with PSG (phosphosilicate glass) or indium solder as the intermediate layer have been demonstrated in this paper, where both localized PSG-toglass and indium-to-glass bonds are accomplished on a square bonding area encompassed by 5 /spl mu/m wide microheaters made of phosphorus doped polysilicon.
Abstract: The feasibility of "localized bonding" with PSG (phosphosilicate glass) or indium solder as the intermediate layer have been demonstrated. Both localized PSG-to-glass and indium-to-glass bonds are accomplished on a square bonding area (/spl sim/500/spl times/500 /spl mu/m/sup 2/) encompassed by 5 /spl mu/m wide microheaters made of phosphorus doped polysilicon. Either PSG or indium solder are deposited on top of the microheater and function as the intermediate bonding material. The separation of heating and bonding materials by the intermediate layer greatly improved the controllability of the bonding process. Moreover, the whole bonding process can be achieved in less than 2 minutes at atmospheric pressure and room temperature environment. This new bonding scheme has potential application for MEMS device packaging that requires low temperature processing at the wafer-level, but high temperature at the bonding interface.

Journal ArticleDOI
Zhixiong Xiao1, Guoying Wu1, Zhihong Li1, Guobing Zhang1, Yilong Hao1, Yangyuan Wang1 
TL;DR: In this paper, the silicon-glass wafer bonding is realized with silicon hydrophilic fusion bonding technology, and the bonding strength is shown to be large enough for most applications of integrated circuits and transducers.
Abstract: Silicon–glass wafer bonding is realized with silicon hydrophilic fusion bonding technology. Tensile strength testing shows that the bonding strength is large enough for most applications of integrated circuits and transducers. The bonding strengths of 4 in. 525 μm thick #7740 glass–4 in. 525 μm thick silicon and of 1.5 in. 1000 μm thick #7740 glass–2 in. 380 μm thick silicon are larger than 9 MPa both with an annealing temperature of 450°C.

Journal ArticleDOI
TL;DR: In this paper, a hydrophilic surface has been achieved from dipping in H2O/H2O2/NH4OH solution, which induces a higher electrostatic force, because of the decreasing gap between the glass and silicon wafer.
Abstract: This paper presents a study of the anodic bonding technique using a hydrophilic surface. Our method differs from conventional processes in the pre-treatment of the wafer. Hydrophilic surfaces were achieved from dipping in H2O/H2O2/NH4OH solution. The hydrophilic surface has a large number of -OH groups, which can form hydrogen bonds when two wafers are in contact. This induces a higher electrostatic force, because of the decreasing gap between the glass and silicon wafer. We achieved improved properties, such as a wider bonded area and a higher bond strength than those of conventional methods. Also, the fabricated pressure sensors on the 5-inch silicon wafer were bonded to Pyrex #7740 glass of 3 mm thickness. In order to investigate the migration of the sodium ions, the depth profile at the glass surface by secondary-ion mass spectroscopy and the bonding current were compared with that of conventional methods.

Journal ArticleDOI
Jeung Sang Go1, Young-Ho Cho1
TL;DR: In this paper, a set of 81 different anodic bonding conditions has been considered and included three different conditions for each of four process parameters: bonding load, bonding temperature, anodic voltage and voltage supply time.
Abstract: Anodic bonding process has been quantitatively evaluated based on the Taguchi analysis of the interfacial fracture toughness, measured at the interface of anodically bonded silicon–glass bimorphs. A new test specimen with a pre-inserted blade has been devised for interfacial fracture toughness measurement. A set of 81 different anodic bonding conditions has been considered and included three different conditions for each of four process parameters: bonding load, bonding temperature, anodic voltage and voltage supply time. The Taguchi method has been used to reduce the number of experiments required for the bonding strength evaluation, thus obtaining nine independent cases out of the 81 possible combinations. The interfacial fracture toughness has been measured for the nine cases in the range of 0.03∼6.12 J/m 2 . Among the four process parameters, the bonding temperature causes the most dominant influence to the bonding strength with the influence factor of 67.7%. The influence factors of other process parameters, such as anodic voltage and voltage supply time, bonding load, are evaluated as 18%, 12% and 2.3%, respectively. The maximum bonding strength of 7.23 J/m 2 has been achieved at the bonding temperature of 460°C with the bonding load of 45 gf/cm 2 , the applied voltage of 600 V and the voltage supply time of 25 minites.

Journal ArticleDOI
TL;DR: In this paper, an AlGaInP/AuBe/glass light-emitting diode (LED) was fabricated by a wafer bonding technique using metalorganic vapor phase epitaxy.
Abstract: An AlGaInP/AuBe/glass light-emitting diode (LED) was fabricated by a wafer bonding technique. The AlGaInP LED was grown on a temporary GaAs substrate by metalorganic vapor phase epitaxy. By bonding the AuBe/glass substrate on top of epitaxial layers, the temporary GaAs substrate was removed. The luminance of this wafer-bonded device is about 3050 cd/m2 (600 nm wavelength) at an operating current of 20 mA. It is about three times brighter than a conventional device with an absorbing GaAs substrate. This could be due to the fact that the AuBe/glass substrate serves as a reflective mirror, improving the light extraction efficiency.

Journal ArticleDOI
TL;DR: In this article, a low-temperature (80°C), low-external loads (electric field, magnetic field, load, etc.) bonding technology using water glass which is used in making molds (silica sand) was developed.
Abstract: The author developed a low-temperature (80°C), low-external loads (electric field, magnetic field, load, etc.) bonding technology using water glass which is used in making molds (silica sand). The adhesive area ratio attained by this bonding technology was more than 95% and the bonding strength was about 290 kgf/cm2. As this water glass bonding technology is applicable at comparatively low-temperatures, the residual stress of the bond is so small as to be able to bond eight 4-inch wafers together in the 3rd dimensional direction. In addition, as the thickness of a bonding layer is as small as several nanometers, the precision bonding of a tolerance of ±3 μm was also possible through alignment, and we could successfully fixed a cap with having a bonding seal of 0.32 mm wide in the vacuum. The leak rate was less than the detection limit of the He leak detector (1×10−10 Pa m3/s), showing excellent air-tightness. Using this bonding technology, the author made a self-package type IR microsensor on an experimental basis, and carried out an accelerated environmental test. As a result, its MTTF (mean time to failure) was estimated to be 6 years.

Journal ArticleDOI
TL;DR: In this paper, a silicon wafer has been anodically bonded to lithium borosilicate glass layers (Itb 1060) at temperatures as low as 150-180°C and to Corning 7740 glass layers at 400 °C.
Abstract: Silicon wafers have been anodically bonded to sputtered lithium borosilicate glass layers (Itb 1060) at temperatures as low as 150–180 °C and to sputtered Corning 7740 glass layers at 400 °C. Dependent on the thickness of the glass layer and the sputtering rate, the sputtered glass layers incorporate compressive stresses which cause the wafer to bow. As a result of this bowing, no anodic bond can be established especially along the edges of the silicon wafer. Successful anodic bonding not only requires plane surfaces, but also is determined very much by the alkali concentration in the glass layer. The concentration of alkali ions as measured by EDX and SNMS depends on both the sputtering rate and the oxygen fraction in the argon process gas. In Itb 1060 layers produced at a sputtering rate of 0.2 nm/s, and in Corning 7740 layers produced at sputtering rates of 0.03 and 0.5 nm/s, respectively, the concentration of alkali ions in the glass layers was sufficiently high, at oxygen partial pressures below 10-4 Pa, to achieve anodic bonding. High-frequency ultrasonic microanalysis allowed the bonding area to be examined non-destructively. Tensile strengths between 4 and 14 MPa were measured in subsequent destructive tensile tests of single-bonded specimens.

Proceedings ArticleDOI
08 Oct 1999
TL;DR: In this paper, a three-layer resist method using reactive ion etching (RIE) for patterning thick photoresist was also examined, which achieved a minimum line/space of 1 micrometers and high aspect ratio of about 5.
Abstract: In order to realize fine microstructures with high aspect ratio, tow kind of thick-resist-based metal molding processes were studied. A novel technique obtaining fine line/space and high aspect ratio thick photoresist patterns on a glass substrate by the simple UV lithography was developed. A three-layer resist method using reactive ion etching (RIE) for patterning thick photoresist was also examined. The former method is to use fine thin metal patterns formed on the glass substrate as the exposure mask. A thick negative photoresist is coated on it and UV light is illuminated from the backside. Perfect contact between mask and photoresist is obtained and the influence of light diffraction is also avoided. By using SU-8 as the negative photoresist, minimum line/space of 1 micrometers and high aspect ratio of about 5 was achieved. The metal layer is served as a seed layer for Ni electroplating as well. Metal microstructures were also fabricated by the three-layer resist method using the thick photoresist-thin SiO2-thin photoresist structure. Even an usual contact UV lithography was applied to pattern the thin photoresist layer and a usual CCP-RIE was used to etch the SiO2 and thick photoresists layer, at least 1 micrometers gap microstructures were obtained by the Ni electroplating. Micro-packaging method using SiO2-SiO2 bonding with hydrofluoric acid was also studied. HF bonding conditions suitable for micropackaging were examined under different HF concentration, pressure, and temperature. Reasonable bond strength equal to that by the anodic bonding is obtained under high-applied pressure during bonding. Packaging method is posed using combination of the thick-resist-based molding and the HF bonding.© (1999) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
05 Mar 1999
TL;DR: In this article, a method for producing multi-laminate structures, wherein successive layers are monomolecularly bonded, is described, as well as methods for producing multilaminate structures so constructed.
Abstract: Methods for effecting monomolecular adhesion are described. Adhesion may be effected by contacting a first and second bonding surface, wherein a first reactant for a chemical bonding reaction is plurally present on the first bonding surface, a second reactant for the chemical bonding reaction is plurally present on the second bonding surface, and the surfaces are contacted for a time and under conditions sufficient to permit the chemical reaction to bond a sufficient number of first reactants to second reactants to attach the bonding surfaces. A molecular linker may optionally be used. Methods for producing multi-laminate structures, wherein successive layers are monomolecularly bonded, are described, as are multi-laminate structures so constructed. The monomolecular bonding between successive layers prevents extrusion of adhesive, obviates use of elevated temperatures to effect adhesion, and presents other advantages useful in the construction of nanoscale and microscale devices for clinical and analytic use.