scispace - formally typeset
Search or ask a question

Showing papers on "Anodic bonding published in 2007"


Journal ArticleDOI
TL;DR: In this paper, a fabrication process for the simultaneous shaping of arrays of glass shells on a wafer level is introduced, based on etching cavities in silicon, followed by anodic bonding of a thin glass wafer to the etched silicon wafer.
Abstract: A fabrication process for the simultaneous shaping of arrays of glass shells on a wafer level is introduced in this paper. The process is based on etching cavities in silicon, followed by anodic bonding of a thin glass wafer to the etched silicon wafer. The bonded wafers are then heated inside a furnace at a temperature above the softening point of the glass, and due to the expansion of the trapped gas in the silicon cavities the glass is blown into three-dimensional spherical shells. An analytical model which can be used to predict the shape of the glass shells is described and demonstrated to match the experimental data. The ability to blow glass on a wafer level may enable novel capabilities including mass-production of microscopic spherical gas confinement chambers, microlenses, and complex microfluidic networks

108 citations


Journal ArticleDOI
TL;DR: In this paper, a new method for sealing PMMA-based microfluidic devices using low azeotropic solvent is developed, which can successfully seal microchannels as small as 40 mm in width without clogging.
Abstract: A new method for sealing PMMA-based microfluidic devices using low azeotropic solvent is developed The bonding process can be achieved in 7 min at room temperature and can successfully seal microchannels as small as 40 mm in width without clogging The results show that the bonding strength and the increase in surface roughness following the bonding process are 38 ± 031 MPa (n = 8) and 10 nm, respectively The bonding strength is 17-fold greater than that achieved using conventional thermal bonding techniques Since the proposed method does not involve a heating process, it is capable of sealing microfluidic channels as wide as 3 mm without causing the channel to collapse In order to meet the requirement of mass production process, three conventional used microdevices are adopted to confirm the feasibility of the proposed bonding method The bonding performance is confirmed by applying the proposed method to the fabrication of three bioanalytical devices, namely a zigzag passive micromixer, a micro-CE chip and an ESI-MS chip The results confirm that the microfluidic devices sealed using the proposed method can operate successfully under a high pressure of 26 bar and are suitable for use in high-resolution bioanalytical detection applications The method proposed this study is potentially the state-of-the-art mass-production process for sealing PMMA microfluidic chips

106 citations


Journal ArticleDOI
TL;DR: A low-temperature bonding of vertical-cavity surface-emitting laser (VCSEL) chips on Si substrates was achieved by using plasma activation of Au films as mentioned in this paper.
Abstract: A low-temperature bonding of vertical-cavity surface-emitting laser (VCSEL) chips on Si substrates was achieved by using plasma activation of Au films. After the surfaces of Au films were cleaned using an Ar radio frequency plasma, bonding was carried out by contact in ambient air with applied static pressure. The experimental results showed that surface morphological change (the reduction of asperity width) as well as removal of adsorbed organic contaminants by plasma treatment significantly improved the quality of joints. At a bonding temperature of 100degC, the die-shear strength exceeded the failure criteria of MIL-STD-883.

102 citations


Patent
14 Sep 2007
TL;DR: In this article, an ion implantation process was used to create an exfoliation layer of semiconductor film on the donor semiconductor wafer, forming an anodic bond between the exfolation layer and an insulator substrate by means of electrolysis.
Abstract: Systems and methods related to an image sensor of one or more embodiments include subjecting a donor semiconductor wafer to an ion implantation process to create an exfoliation layer of semiconductor film on the donor semiconductor wafer, forming an anodic bond between the exfoliation layer and an insulator substrate by means of electrolysis; separating the exfoliation layer from the donor semiconductor wafer to transfer the exfoliation layer to the insulator substrate; and creating a plurality of image sensor features proximate to the exfoliation layer. Forming the anodic bonding by electrolysis may include the application of heat, pressure and voltage to the insulator structure and the exfoliation layer attached to the donor semiconductor wafer. Image sensor devices include an insulator structure, a semiconductor film, an anodic bond between them, and a plurality of image sensor features. The semiconductor film preferably comprises an exfoliation layer of a substantially single-crystal donor semiconductor wafer.

99 citations


Journal ArticleDOI
TL;DR: In this paper, glass composite materials were investigated for use as a seal between Fe78Cr22 steel interconnects and cell components and the results showed promising results in terms of being able to control both the bonding strength and interface reactivity between metal and glass.
Abstract: Glass composite materials were investigated for use as a seal between Fe78Cr22 steel interconnects and cell components. Additions of MgO-filler to the sodium aluminosilicate (NAS) base glass increased the coefficient of thermal expansion of the composite to ∼12 ppm and provided a sufficient match between the components to allow for thermal cycling of the interfaces. The optimisation of composite seals with long-term compliancy showed promising results in terms of being able to control both the bonding strength and interface reactivity between metal and glass. The reactivity increased with temperature and protection of the surface by pre-oxidation did not alter the picture. Etching the metal surfaces in combination with application of Mn-, Co-, or Ni-oxide coatings reduced the metal–glass interactions and for the Ni- and Co-oxide coatings facilitated an excellent bond and gradual changing interface between the glass and metal. Samples were tested in model experiments and performance tested in multi-cell stacks. Both pure NAS glass and NAS/MgO composite material developed gas tight sealing performance, when sealed at 950 °C and showed low, constant levels of leakage throughout multiple thermal cyclings after more than 5000 h run time in stack testing.

95 citations


Journal ArticleDOI
TL;DR: This work examines numerous significant process parameters in the solvent-assistant Polymethyl methacrylate (PMMA) bonding scheme and presents two Micro-total-analysis System (μ-TAS) devices generated by adopting the optimal bonding parameters.
Abstract: This work examines numerous significant process parameters in the solvent-assistant Polymethyl methacrylate (PMMA) bonding scheme and presents two Micro-total-analysis System (μ-TAS) devices generated by adopting the optimal bonding parameters. The process parameters considered were heating temperature, applied loading, duration and solution. The effects of selected process parameters on bonding dimensions loss and strength, and subsequent optimal setting of the parameters were accomplished using Taguchi’s scheme. Additionally, two μ-TAS devices were realized using a static paraffin microvalve and a dynamic diffuser micropump. The PMMA chips were carved using a CO2 laser that patterned device microchannels and microchambers. The operation principles, fabrication processes and experimental performance of the devices are discussed. This bonding technique has numerous benefits, including high bonding strength (240 kgf/cm2) and low dimension loss (2–6%). For comparison, this work also demonstrates that the normal stress of this technology is 2–15 times greater than that of other bonding technologies, including hot embossing, anodic bonding, direct bonding and thermal fusion bonding.

90 citations


Patent
04 Jul 2007
TL;DR: In this paper, the authors propose a method comprising a step of implanting ions of a light element such as hydrogen, helium or the like into a wafer for active layer at a predetermined depth position to form an ion implanted layer, a step bonding the wafer with an insulating film, an exfoliating step at the ion implant layer, and a first heat treatment step of conducting a sacrificial oxidation for reducing damage on a surface of an active layer exposed through the exfoliation.
Abstract: A bonded wafer is produced by a method comprising a step of implanting ions of a light element such as hydrogen, helium or the like into a wafer for active layer at a predetermined depth position to form an ion implanted layer, a step of bonding the wafer for active layer to a wafer for support substrate through an insulating film, a step of exfoliating the wafer at the ion implanted layer, a first heat treatment step of conducting a sacrificial oxidation for reducing damage on a surface of an active layer exposed through the exfoliation and a second heat treatment step of raising a bonding strength, in which the second heat treatment step is continuously conducted after the first heat treatment step without removing an oxide film formed on the surface of the active layer.

78 citations


Journal ArticleDOI
TL;DR: In this paper, the use of a PDMS (poly(dimethylsiloxane)) curing-agent as the intermediate layer for adhesive full-wafer bonding suitable for fabrication of microfluidic structures was presented.
Abstract: In this work, we present the use of a PDMS (poly(dimethylsiloxane)) curing-agent as the intermediate layer for adhesive full-wafer bonding suitable for fabrication of microfluidic structures. The curing-agent of the two-component silicone rubber (Sylgard 184) is spin coated on a substrate, brought into contact with another PDMS layer and heat cured to create an irreversible seal which is as strong as or even stronger than plasma-assisted PDMS bonding. The maximum bond strength is measured to 800 kPa when bonding together PDMS and silicon. The applicability of the new PDMS adhesive bonding method is verified by means of fabricating microfluidic structures. Using this method allows for wafer-level bonding of PDMS to various materials such as PDMS, glass or silicon and more importantly to selectively bond different layers by using a patterned adhesive bonding technique. Moreover, precise alignment of the structural layers is facilitated since curing is initiated upon heat which is an advantage when fabricating multilayer microfluidic devices.

70 citations


Journal ArticleDOI
TL;DR: A microfluidic nebulizer chip for mass spectrometry is presented, an all-glass device which consists of fusion bonded Pyrex wafers with embedded flow channels and a nozzle at the chip edge, enabling easy interconnections.
Abstract: A microfluidic nebulizer chip for mass spectrometry is presented. It is an all-glass device which consists of fusion bonded Pyrex wafers with embedded flow channels and a nozzle at the chip edge. A platinum heater is located on the wafer backside. Fabrication of the chip is detailed, especially glass deep etching, wafer bonding, and metal patterning. Various process combinations of bonding and metallization have been considered (anodic bonding vs. fusion bonding; heater inside/outside channel; metallization before/after bonding; platinum lift-off vs. etching). The chip vaporizes the liquid sample (0.1–10 μL min−1) and mixes it with a nebulizer gas (ca. 100 sccm N2). Operating temperatures can go up to 500 °C ensuring efficient vaporization. Thermal insulation of the glass ensures low temperatures at the far end of the chip, enabling easy interconnections.

64 citations


Patent
19 Jul 2007
TL;DR: In this article, a dicing and die bonding tape that makes it possible to pick up a semiconductor chip easily and reliably in picking up the semiconductor wafer to pickup the whole die bonding film is presented.
Abstract: Obtained is a dicing and die bonding tape that makes it possible to pick up a semiconductor chip easily and reliably in dicing a semiconductor wafer to pickup the semiconductor chip together with the whole die bonding film. A dicing and die bonding tape used in dicing of a wafer, in obtaining a semiconductor chip, and in die bonding of the semiconductor chip, the dicing and die bonding tape having a die bonding film 3 , and a non pressure sensitive adhesive film 4 bonded on one surface of the die bonding film 3 , the separation strength between the die bonding film 3 and the non pressure sensitive adhesive film 4 being within a range of 1 to 6 N/m, the shear strength between the die bonding film 3 and the non pressure sensitive adhesive film 4 being 0.3 to 2 N/mm 2 .

63 citations


Proceedings ArticleDOI
TL;DR: In order to achieve stress-free,============aligned substrates without warpage modifications, a new technique was proposed in this paper, which enables integration of various materials combinations coming from separate production lines.
Abstract: Manufacturing and integration of MEMS devices by wafer bonding often lead to problems generated by thermal properties of materials These include alignment shifts, substrate warping and thin film stress By limiting the thermal processing temperatures, thermal expansion differences between materials can be minimized in order to achieve stress-free, aligned substrates without warpage Achieving wafer level bonding at low temperature employs a little magic and requires new technology development The cornerstone of low temperature bonding is plasma activation The plasma is chosen to compliment existing interface conditions and can result in conductive or insulating interfaces A wide range of materials including semiconductors, glasses, quartz and even plastics respond favorably to plasma activated bonding The annealing temperatures required to create permanent bonds are typically ranging from room temperature to 400°C for process times ranging from 15-30 minutes and up to 2-3 hours This new technique enables integration of various materials combinations coming from separate production lines

Journal ArticleDOI
TL;DR: In this article, a photonic porous silicon-based optical transducer was used in biochip devices to detect specific molecular interactions, in order to enhance the selectivity and specificity of the sensor device.
Abstract: Porous silicon multilayered microstructures have unique optical and morphological properties that can be exploited in chemical and biological sensing. The large specific surface of nanostructured porous silicon can be chemically modified to link different molecular probes (DNA strands, enzymes, proteins and so on), which recognize the target analytes, in order to enhance the selectivity and specificity of the sensor device. We designed fabricated and characterized several photonic porous silicon-based structures, which were used in sensing some specific molecular interactions. The next step is the integration of the porous silicon-based optical transducer in biochip devices: at this aim, we have tested an innovative anodic bonding process between porous silicon and glass, and its compatibility with the biological probes.

Patent
Yusuke Yasuda1, Toshiaki Morita1, Eiichi Ide1, Hiroshi Hozoji1, Toshiaki Ishii1 
28 Dec 2007
TL;DR: In this paper, a bonding material capable of realizing bonding by metallic bonding at a bonding interface at a lower temperature compared to using a metal particle having an average particle diameter of not more than 100 nm and a bonding method was provided.
Abstract: It is an object of this invention to provide a bonding material capable of realizing bonding by metallic bonding at a bonding interface at a lower temperature compared to a bonding material using a metal particle having an average particle diameter of not more than 100 nm and a bonding method. There is provided a bonding material including a metal particle precursor being at least one selected from the group consisting of a particle of a metal oxide, a particle of a metal carbonate, and a particle of a metal carboxylate and having an average particle diameter of 1 nm to 50 μm and a reducing agent composed of an organic substance, wherein the content of the metal particle precursor is more than 50 parts by mass and not more than 99 parts by mass per 100 parts by mass of the bonding material.

Journal ArticleDOI
TL;DR: In this article, the authors presented an original fabrication process of a microfluidic device for identification and characterization of cells in suspensions using impedance spectroscopy, which consists of two glass wafers: a bottom wafer comprising a micro fluidic channel with two electrodes added for impedance measurement, and a top glass wafer in which inlets and outlets are realized.
Abstract: The paper presents an original fabrication process of a microfluidic device for identification and characterization of cells in suspensions using impedance spectroscopy. The device consists of two glass wafers: a bottom wafer comprising a microfluidic channel with two electrodes added for impedance measurement, and a top glass wafer in which inlets and outlets are realized. The fact that the device is glass-based provides a few key advantages: reduced influence from parasitic components during measurements (due to the good isolation properties of the substrate), optical transparency and hydrophilic surface of the microfluidic channel. The latter feature is especially important as it enables sample suction due to capillarity forces only. Thus, no external pumping is required and only a small volume sample suffices for the measurement. The fabrication process of this device consists of three major steps. First, via-holes and inlet/outlet holes are executed in the top glass wafer by wet etching in a 49% HF solution using a low stress amorphous silicon/silicon carbide/photoresist mask. Second, the microfluidic channel is etched into the bottom wafer and Ti/Pt electrodes are then patterned on top of it using a spray coating-based lithography. The last processing step is bonding together the top and bottom glass wafers by employing a very thin adhesive intermediate layer (SU8). This adhesive layer was applied selectively only on the bottom die, from a Teflon cylinder, using a contact imprinting method. Finally, devices with three different electrode geometries were successfully tested using DI water, phosphate buffer saline (PBS), and both dead and living yeast cells resuspended in PBS. Clear differences between dead and live cells have been observed.

Journal ArticleDOI
TL;DR: In this article, through-wafer vias are embedded inside the silicon-on-insulator (SOI) wafer, and are realized using laser drilling and electroplating, and a high-strength hermetic sealing is then achieved after anodic bonding of the throughwafer-vias-embedded SOI wafer to a Pyrex 7740 glass.
Abstract: Packaging is an emerging technology for microsystem integration. The silicon-on-insulator (SOI) wafer has been extensively employed for micromachined devices for its reliable fabrication steps and robust structures. This research reports a packaging approach for silicon-on- insulator-micro-electro-mechanical system (SOI-MEMS) devices using through-wafer vias and anodic bonding technologies. Through-wafer vias are embedded inside the SOI wafers, and are realized using laser drilling and electroplating. These vias provide electrical signal paths to the MEMS device, while isolating MEMS devices from the outer environment. A high-strength hermetic sealing is then achieved after anodic bonding of the through-wafer-vias-embedded SOI wafer to a Pyrex 7740 glass. Moreover, the packaged SOI-MEMS chip is compatible with surface mount technology, and provides a superior way for 3D heterogeneous integration.

Proceedings ArticleDOI
16 Apr 2007
TL;DR: In this paper, the degradation rate of the wire bonds was assessed by means of bond shear test and via microstructural characterisation, and it was shown that the shear strength of wire bonds at room temperature was approximately equal to that of pure aluminum and independent of bonding temperature.
Abstract: In this paper, thermal cycling reliability along with ANSYS analysis of the residual stress generated in heavy-gauge Al bond wires at different bonding temperatures is reported. 99.999% pure Al wires of 375 mum in diameter, were ultrasonically bonded to silicon dies coated with a 5mum thick Al metallisation at 25degC (room temperature), 100degC and 200degC, respectively (with the same bonding parameters). The wire bonded samples were then subjected to thermal cycling in air from -60degC to +150degC. The degradation rate of the wire bonds was assessed by means of bond shear test and via microstructural characterisation. Prior to thermal cycling, the shear strength of all of the wire bonds was approximately equal to the shear strength of pure aluminum and independent of bonding temperature. During thermal cycling, however, the shear strength of room temperature bonded samples was observed to decrease more rapidly (as compared to bonds formed at 100degC and 200degC) as a result of a high crack propagation rate across the bonding area. In addition, modification of the grain structure at the bonding interface was also observed with bonding temperature, leading to changes in the mechanical properties of the wire. The heat and pressure induced by the high temperature bonding is believed to promote grain recovery and recrystallisation, softening the wires through removal of the dislocations and plastic strain energy. Coarse grains formed at the bonding interface after bonding at elevated temperatures may also contribute to greater resistance for crack propagation, thus lowering the wire bond degradation rate.

Proceedings ArticleDOI
25 Jun 2007
TL;DR: In this article, the authors used finite element methods to study the influence of induced stresses in silicon as a result of CTE mismatch between silicon and copper and that also caused by the applied thermo-compression bonding force.
Abstract: A new approach to 3D stacking of chips is being developed at IMEC and is called 3D-stacked IC (3D-SIC). In this approach, interconnection between strata is achieved by thermo-compression bonding of Cu-vias to a Cu-landing pad. In this paper we use finite element methods to study the influence of the resultant induced stresses in silicon as a result of CTE mismatch between silicon and copper and that also caused by the applied thermo-compression bonding force. Bonding temperature is found to be the main cause of induced stresses during thermo-compression bonding. The induced stresses decreased with a decrease in the silicon thickness. The keep-away-zone of the transistors from the influence of stresses from the Cu-vias is found to be dependent on the diameter of the Cu-via and the doping concentration of the transistors.

Journal ArticleDOI
TL;DR: In this article, a low-temperature, direct bonding method for poly(methyl methacrylate) (PMMA) plates has been developed by employing surface treatment by atmospheric pressure oxygen plasma, vacuum oxygen plasma or vacuum ultraviolet (UV)/ozone.
Abstract: A low-temperature, direct bonding method for poly(methyl methacrylate) (PMMA) plates has been developed by employing surface treatment by atmospheric pressure oxygen plasma, vacuum oxygen plasma, ultraviolet (UV)/ozone or vacuum ultraviolet (VUV)/ozone. Reasonable bonding strength, as evaluated by a tensile test, was achieved below the glass transition temperature (Tg). The highest bonding strength among the achieved results is 1.43 MPa (about three times the value for conventional direct bonding) at an annealing temperature of 50 °C and an applied pressure of 2.5 MPa for 10 min. Low-temperature bonding prevents deformation of the PMMA microstructure. A prototype PMMA microchip that has fine channels of 5 µm depth was fabricated by hot-embossing using a Si mold. After atmospheric pressure oxygen plasma activation, direct bonding was carried out at an annealing temperature of 75 °C and an applied pressure of 3 MPa for 3 min. The method gives good bonding characteristics without deformation and leakage. This low-temperature bonding technology can be applied to polymer micro/nano structures. Copyright © 2007 Institute of Electrical Engineers of Japan© 2007 Institute of Electrical Engineers of Japan. Published by John Wiley & Sons, Inc.

Journal ArticleDOI
TL;DR: In this paper, the authors presented a bonding technique for fabricating microfluidic devices with surfactant-added SU-8 (hydrophilic SU 8) as the structure, which is based on an adhesive wafer bonding process.
Abstract: In this study, we present a bonding technique for fabricating microfluidic devices with surfactant-added SU-8 (hydrophilic SU-8) as the structure. The technique is based on an adhesive wafer bonding process. The hydrophilic SU-8 plays the roles of both an adhesive layer (glue) as well as a structural layer. Due to its special feature, bonding with hydrophilic SU-8 could be conducted without pressurizing equipment. The material could define structure satisfactorily and it has a hydrophilic surface in a cured state, and has a good adhesion capability with various substrates (glass, silicon or PDMS) after oxygen plasma treatment. The performance of the material was verified by using the Raman spectroscopy to analyze the possible changes in chemical structure, measuring contact angle to characterize hydrophilicity and several tests to confirm the bonding capability. The effect of different parameters on the bonding has also been explored. 2D (one-layer hydrophilic SU-8) and 3D (two-layer hydrophilic SU-8) sandwich configurations were constructed using this bonding technique. The tests showed the bonding strength to be in the range of 2–14 kg cm−2 measured by the pull test, and the microchannels could stand up to 0.4 atm pressure drop in the hydrodynamic testing. The present material has good properties in bonding, and the procedure is fast, compatible and easily implementable with a standard photolithography. It is suitable for microstructure and microelectronics integration, and highly involved three-dimensional (3D) microstructures.

Journal ArticleDOI
TL;DR: In this paper, the interface reaction between glass and mold by high temperature wetting experiment, which provides the reference for the designing anti-stick coatings was investigated and the results showed that severe interface chemical reaction occured and resulted in the loss of transparency in glass appearance.
Abstract: The glass molding process is considered to have a great potential for the mass production of aspherical glass lenses with high precision and low cost. However, glass molding has a serious problem of mold sticking with glass which needs to be resolved. This research investigates the interface reaction between glass and mold by high temperature wetting experiment, which provides the reference for the designing anti-stick coatings. The SUMITA K-PSK200 optical glass gobs with low Tg were used in this study. The influence of operation temperature, ambient gas, substrate materials, and thin film composition on wettability of glass at high temperature were studied. The results show that the higher the temperature, the smaller the wetting angle between glass gob and substrate could be observed. This indicates that severe interface chemical reaction occured and resulted in the loss of transparency in glass appearance. The wetting experiment in nitrogen ambient improved the sticking situation. The combination of chemically stable substrates and coatings, such as Sapphire (substrate) / GaN (film) and Glass (substrate) / Al2O3 (film) can achieve the best antistick propose. The precious metal films, such as Pt, Ir, coated on the ceramic substrates can effectively reduce the interface reaction between the glass and substrates.

Journal ArticleDOI
TL;DR: In this paper, a glass-based microfluidic device for characterization of cells in suspensions using impedance spectroscopy is presented, which consists of two glass wafers: a bottom wafer comprising a micro-fluid channel with two electrodes added for impedance measurement, and a top glass wafer in which inlets and outlets are realized.
Abstract: The paper reports the realization of a glass-based microfluidic device for characterization of cells in suspensions using impedance spectroscopy. The device consists of two glass wafers: a bottom wafer comprising a microfluidic channel with two electrodes added for impedance measurement, and a top glass wafer in which inlets and outlets are realized. The main focus of this work is the original fabrication process of this device, which combines three key techniques: firstly, successfully applying a through-wafer wet etching method in order to pattern the inlets and outlets in the top glass wafer; secondly, patterning the electrodes not only on flat surfaces but also in the microfluidic channel etched in the bottom wafer; and thirdly, employing indirect wafer to wafer bonding using an intermediate polymer layer. No external pumping is required as capillarity enables direct suction of a sample droplet in the channel. The device can be reusable if a thorough cleaning procedure is carried out. Devices with three different electrode geometries were successfully tested in electrochemical impedance spectroscopy (EIS) measurements using DI water and HepG2 cells. Although clear differences between DI water and live HepG2 cells have been observed in all cases, different results were obtained for various electrode geometries, highlighting the critical importance of the device design in performing EIS measurements and especially when making comparisons with other reported results.

Patent
21 Sep 2007
TL;DR: In this article, the authors provide new compositions and methods of using those compositions as bonding compositions, which can be used to bond an active wafer to a carrier wafer or substrate to assist in protecting the active sites during subsequent processing and handling.
Abstract: New compositions and methods of using those compositions as bonding compositions are provided. The compositions comprise a polymer dispersed or dissolved in a solvent system, and can be used to bond an active wafer to a carrier wafer or substrate to assist in protecting the active wafer and its active sites during subsequent processing and handling. The compositions form bonding layers that are chemically and thermally resistant, but that can also be softened to allow the wafers to slide apart at the appropriate stage in the fabrication process.

Journal ArticleDOI
TL;DR: In this article, pull-off measurements were used to characterize the tensile toughness of bonds between Cu layers deposited, pressure bonded, and tested under ultrahigh vacuum (<2×10−10Torr).
Abstract: Low-temperature metal-metal bonding is needed for three-dimensional circuit fabrication and other technologies. Atomic force microscope pull-off measurements were used to characterize the tensile toughness of bonds between Cu layers deposited, pressure bonded, and tested under ultrahigh vacuum (<2×10−10Torr). Works of adhesion ∼3J∕m2 were obtained at room temperature, the ideal value expected for bulklike bonding. The bond toughness was degraded to ∼0.1J∕m2 when surfaces were exposed to 10−6Torr O2 before bonding. Cu layers exposed to O2 must be bonded at 300°C or above to achieve the same toughness achieved at room temperature with clean surfaces.

Journal ArticleDOI
TL;DR: In this paper, a modified surface activated bonding (SAB) method is described for bonding sapphire, quartz, and glass wafers with silicon using a low energy argon ion beam.
Abstract: A novel method for bonding sapphire, quartz, and glass wafers with silicon using the modified surface activated bonding (SAB) method is described. In this method, the mating surfaces were cleaned and simultaneously coated with nano-adhesion Fe layers using a low energy argon ion beam. The optical images show that the entire area of the 4-in wafers of LiNbO3/Si was bonded. Such images for other samples show particle induced voids across the interface. The average tensile strength for all of the mating pairs was much higher than 10 MPa. Prolonged irradiation reduced polarization in sapphire, quartz, and Al-silicate glasses. Fe and Ar ion-induced charge deposition result in the formation of an electric field, which was responsible for the depolarization. The lattice mismatch induced local strain was found in LiNbO3/Si. No such strain was observed in the Al-silicate glass/Si interface probably because of annealing at 300 for 8 h. The Al-silicate glass/Si interface showed an interfacial layer of 2 nm thick. A 5-nm-thick amorphous layer was observed with the other layer across the /Si interface. The EELS spectra confirmed the presence of nano-adhesion Fe layers across the interface. These Fe layers associated with the electric field induced by ion beam irradiation for prolonged period of time, particularly in LiNbO3/Si, might be responsible for the high bonding strength between Si/ionic wafers at low temperatures.

Journal ArticleDOI
TL;DR: The interrelationship between direct bonding and elasticity, as well as plasticity, is addressed; the latter is in relation to direct bonded glass wafers that are thermally treated to create the geometric shape, e.g., required for specific lab-on-a-chip components with a three-dimensional overall configuration.
Abstract: We present a number of recent evaluations of direct bonding, a glueless bonding technology, performed under ambient conditions. If combined with bond-strengthening, this geometry-conserving technology is well suited for an application in far ultraviolet immersion lithography. Our term beyond direct bonding refers to taking at least one additional technological step beyond direct bonding, involving chemical interface engineering, advanced silicon-on-insulator (SOI) technology, whereby the unwanted influence of dilatation mismatch is obviated. The combination of successive direct bonding, nanopillar lattice structures and silicon-technological engineering makes it possible for us to arrange quantum dots, wires, and planes in a transversal cascade. We also address the interrelationship between direct bonding and elasticity, as well as plasticity; the latter is in relation to direct bonded glass wafers that are thermally treated to create the geometric shape, e.g., required for specific lab-on-a-chip components with a three-dimensional overall configuration.

Journal ArticleDOI
TL;DR: In this paper, three different coating materials, namely Al, NiAl5 and Al2O3, were applied on AZ91 and AE42 substrates using the atmospheric plasma spray technology.
Abstract: Magnesium alloys are promising alternatives to other lightweight materials due to their high specific strength and stiffness. However, the use of magnesium alloys is limited by their poor wear behaviour and low corrosion resistance for many industrial applications. The thermal spray technology offers a wide range of possibilities to improve the surface properties of Mg-based components. In this study, three different coating materials, namely Al, NiAl5 and Al2O3, were applied on AZ91 and AE42 substrates using the atmospheric plasma spray technology. The investigation was focused on the bonding strength of the coatings and the related bonding mechanisms. For a better understanding of the bonding mechanisms, the flattening behaviour of the spray particles was investigated in correlation with the substrate pre-heating temperature. It was found that NiAl5-particles could well melt the substrate at the surface and deformed it locally; Al-particles did the same but to a lower extent. The dominating bonding mechanism for NiAl5-coatings could be attributed to a metallurgical bonding. For Al-coatings, this mechanism played a more important role once the substrate pre-heating temperature was increased. Al2O3 particles in contrast, were less able to deform the substrate in spite of their higher thermal load and the mechanical anchoring remained the main bonding mechanism. The thermo physical properties of the Mg substrate showed also to have an influence on the adhesion of the coatings.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated low-temperature Ti-based wafer bonding and its mechanisms and obtained nearly void-free bonding and strong mechanical integrity in all three cases.
Abstract: Low-temperature Ti-based wafer bonding and its mechanisms have been investigated, namely, Ti/Si, Ti/SiO 2 , and Ti/Ti bonding. The bonding is carried out at 400°C with 10 kN down-force for 2 h in vacuum. Nearly void-free bonding and strong mechanical integrity were obtained in all three cases. Interfacial characterization by Auger electron spectroscopy and transmission electron microscopy indicates that Ti/Si bonding is based on a solid-state amorphization at 400°C, whereas Ti/SiO 2 bonding is attributed to an interfacial reduction reaction. In Ti/Ti bonding, migration of Ti leads to significant grain extrusion across the bonding interface. A double bonding/thinning process, including both Ti/Si- and Ti/SiO 2 -based wafer bonding at back-end-of-the-line conditions, has been demonstrated on patterned wafers.

Journal ArticleDOI
TL;DR: In this paper, the authors describe the design, fabrication and characterization of a microfluidic gas centrifuge for separating dilute gas mixtures based on the molecular weights of their constituents.
Abstract: This paper describes the design, fabrication and characterization of a microfluidic gas centrifuge for separating dilute gas mixtures based on the molecular weights of their constituents. The principal advantage of this approach is its fast response time compared to other methods that are based on permeation or adsorption/desorption. This would allow it to serve as a real-time preconcentrator for improving the sensitivity of miniature chemical sensors. Devices with nozzle throat widths as small as 3.6 μm have been fabricated using photolithography, deep reactive ion etching (DRIE) and silicon-glass anodic bonding. Measurements of the device's performance show that a single stage can achieve a two-fold enrichment of an initially 1% mixture of SF 6 in N 2 in 0.01 ms. These experimental findings are consistent with the results of two-dimensional numerical simulations of the flow through the device. The simulations suggest that the performance of a single stage could be improved significantly by changing the geometry of the entrance flow. Further improvements in performance could be achieved by cascading the devices.

Journal ArticleDOI
TL;DR: In this article, a low-temperature anodic bonding process using induction heating is presented, where a 1 kW 400 kHz power supply is used to induce heat in graphite susceptors, which conduct heat to the bonding pair and permanently join the pair in 5 min.
Abstract: A novel low-temperature anodic bonding process using induction heating is presented in this paper. Anodic bonding between silicon and glass (Pyrex 7740) has been achieved at temperature below 300 °C and almost bubble-free interfaces have been obtained. A 1 kW 400 kHz power supply is used to induce heat in graphite susceptors (simultaneously as the high-voltage electrodes of anodic bonding), which conduct heat to the bonding pair and permanently join the pair in 5 min. The results of pull tests indicate a bonding strength of above 5.0 MPa for induction heating, which is greater than the strength for resistive heating at the same temperature. The fracture mainly occurs inside the glass or across the interface other than in the interface when the bonding temperature is over 200 °C. Finally, the interfaces are examined and analyzed by scanning electron microscopy (SEM) and the bonding mechanisms are discussed.

Journal ArticleDOI
TL;DR: In this paper, the authors report a rapid reaction between Au and amorphous Si (a-Si), which occurred at a much faster rate compared to the case of crystal Si∕Au reaction.
Abstract: The authors report a rapid reaction between Au and amorphous Si (a-Si), which occurred at a much faster rate compared to the case of crystal Si∕Au reaction. With an amorphous Si coating layer on crystal Si (c-Si) wafer, air voids and craters were prevented from forming at the Si∕Au bonding interface, but were usually found at the crystal Si∕Au bonding interface. The uniform liquid eutectic Au–Si alloy quickly formed at the Au/amorphous Si bonding interface is the key for the prevention of air voids and craters. This amorphous Si∕Au bonding process enables the feasibility of eutectic Au∕Si bonding for wafer bonding applications.