scispace - formally typeset
Search or ask a question

Showing papers on "Anodic bonding published in 2011"


Journal ArticleDOI
TL;DR: Partial transient liquid phase (PTLP) bonding as discussed by the authors is a variant of TLP bonding that is typically used to join ceramics and has found many applications, most notably the joining and repair of Ni-based superalloy components.
Abstract: Transient liquid phase (TLP) bonding is a relatively new bonding process that joins materials using an interlayer. On heating, the interlayer melts and the interlayer element (or a constituent of an alloy interlayer) diffuses into the substrate materials, causing isothermal solidification. The result of this process is a bond that has a higher melting point than the bonding temperature. This bonding process has found many applications, most notably the joining and repair of Ni-based superalloy components. This article reviews important aspects of TLP bonding, such as kinetics of the process, experimental details (bonding time, interlayer thickness and format, and optimal bonding temperature), and advantages and disadvantages of the process. A wide range of materials that TLP bonding has been applied to is also presented. Partial transient liquid phase (PTLP) bonding is a variant of TLP bonding that is typically used to join ceramics. PTLP bonding requires an interlayer composed of multiple layers; the most common bond setup consists of a thick refractory core sandwiched by thin, lower-melting layers on each side. This article explains how the experimental details and bonding kinetics of PTLP bonding differ from TLP bonding. Also, a range of materials that have been joined by PTLP bonding is presented.

453 citations


Journal ArticleDOI
TL;DR: The Si-glass anodic bonding process to fill micro Cs vapor cells with a buffer gas (Ar or Ne) at a controlled pressure (up to 20kPa) was reported in this article.
Abstract: This paper reports on the Si-glass anodic bonding process to fill micro Cs vapor cells with a buffer gas (Ar or Ne) at a controlled pressure (up to 20 kPa), which is one of the technological key steps to fabricate Cs vapor cells for miniature atomic clocks. In the atmosphere of these gases, the applicable bonding voltage was not high enough to achieve strong bonding because of the electrical breakdown caused by ionization of the gas. To improve the bonding quality, an original two-step anodic bonding method was proposed. The first step of the anodic bonding, which intends to pre-seal the gas in microcells, is carried out in the presence of a buffer gas by applying a voltage lower than the breakdown voltage. Subsequently, the second bonding is performed in air at sufficiently high voltages to improve the sealing quality. By employing optical spectroscopy, it was demonstrated that the cells maintain the buffer gas at an appropriate pressure for atomic clock operation. The accelerated aging tests show that Cs vapor as well as the buffer gas remained in the cells without any significant change in the pressure, which allow us to estimate the lifetime of the cells to be at least 3 years. Further CPT experiments revealed that the buffer-gas pressure change is less than 6.13 × 10−4 kPa throughout the aging test at 125 °C for more than 3 weeks. These results show that these microcells are appropriate for applications to atomic frequency references.

106 citations


Patent
28 Jul 2011
TL;DR: A glass film laminate includes a glass film and a supporting glass as mentioned in this paper, which have surfaces in contact with each other and each of the surfaces has a surface roughness of 20 nm or less.
Abstract: A glass film laminate includes a glass film and a supporting glass The glass film and the supporting glass have surfaces in contact with each other, and each of the surfaces has a surface roughness Ra of 20 nm or less

91 citations


Journal ArticleDOI
TL;DR: In this article, a mechanism for copper direct bonding is proposed based on bonding toughness measurements, SAM, XRR, XRD, and TEM analysis, and a special focus is done on direct bonding of patterned metal/dielectric surfaces.
Abstract: An overview of the different metal bonding techniques used for 3D integration is presented. Key parameters such as surface preparation, temperature and duration of annealing, achievable wafer-to-wafer alignment and electrical results are reviewed. A special focus is done on direct bonding of patterned metal/dielectric surfaces. A mechanism for copper direct bonding is proposed based on bonding toughness measurements, SAM, XRR, XRD, and TEM analysis. Dedicated characterization techniques for such bonding are presented.

72 citations


Journal ArticleDOI
TL;DR: In this article, the energy-conversion efficiency of 1µm-thin Epifree cells was improved from 2.6 to 4.1% by depositing a stack of amorphous silicon (a-Si) layers as rear-side passivation.
Abstract: The “Epifree” process involves the lift-off of a high-quality monocrystalline film formed by reorganization upon annealing of cylindrical macropore arrays in silicon, and can thus provide high-quality silicon films without resorting to costly epitaxy. The challenge of this new process lies in etching controlled and regular pores in silicon in a cost-efficient way, and in developing a process compatible with the difficulty of handling a micron-thin material. Proof-of-concept cells have previously been achieved and this paper presents the latest progress, with a first development of thicker films and the inclusion of rear-side passivation. The energy-conversion efficiency of 1-µm-thin Epifree cells was improved from 2.6 to 4.1% by depositing a stack of amorphous silicon (a-Si) layers as rear-side passivation. The increase in Voc was, however, limited and bound to a drop in Jsc. The choice of a-Si was revealed to be unsuitable because of the thinness of the film and the presence of a full aluminum rear contact. The thinness of the film leads to a decrease in rear-side reflectivity by the a-Si absorption, and the aluminum, although not leading to crystallization, partly migrates inside the a-Si stack upon anodic bonding as shown by TEM. These factors indicate that an alternative surface passivation should be developed. In parallel to process developments, the material was thickened by modifying the macropore array dimensions, leading to a 2.4-µm-thick material over 1 cm × 1 cm areas. The efficiency of the next cells is expected to increase with this thicker material. Copyright © 2010 John Wiley & Sons, Ltd.

70 citations


Journal ArticleDOI
TL;DR: An evanescently coupled, hybrid III-V/Silicon Fabry-Perot laser based on adhesive divinyl siloxane-benzocyclobutene (DVS-BCB) bonding is presented in this paper.
Abstract: An evanescently coupled, hybrid III-V/Silicon Fabry-Perot laser based on adhesive divinyl siloxane-benzocyclobutene (DVS-BCB) bonding is presented operating at 1310 nm We obtain 52-mW output power in continuous-wave (CW) regime at 10 °C with a threshold current density of 283 kA/cm2 in an 800-μm -long device A specially developed bonding procedure produces 50-nm-thick bonding layers, enabling the evanescent coupling

69 citations


Journal ArticleDOI
TL;DR: In this article, a direct hot pressing method was developed to fabricate duplex metal plates of magnesium alloy base plate and aluminum cover plate, which achieved metallurgical bonding between the base and the cover plate with formation of three distinct bonding subzones, two single-phase layers Al 3 Mg 2 and Mg 17 Al 12, and one two-phase layer α-Mg.
Abstract: A direct hot pressing method is developed to fabricate duplex metal plates of magnesium alloy base plate and aluminum cover plate. A metallurgical bonding between the base plate and the cover plate is achieved with formation of three distinct bonding subzones, two single-phase layers Al 3 Mg 2 and Mg 17 Al 12 , and one two-phase layer Mg 17 Al 12 and α-Mg. The tensile strength across the bonding interface is as high as 24 MPa, and the Al 3 Mg 2 layer is the weak link of the bonding where fracture occurs.

69 citations


Journal ArticleDOI
TL;DR: In this paper, a joint to EUROFER97 is foreseen in current divertor design for which the diffusion bonding is considered in this work, and the joining must have acceptable strength and ductility without significant change in microstructures.

65 citations


Proceedings ArticleDOI
20 Jun 2011
TL;DR: In this paper, a set of useful process guidelines and recommendations for thin-wafer handling is provided, based on which the 300mm wafers are thinned down to 50μm and evaluated in different structures including: (a) blanket wafer, (b) wafer with 80μm solder bumps, and (c) Wafers with 20μm micro-bumps and TSVs in 10μm diameter and 40∼50μm pitch.
Abstract: Handling and shipping thin wafers (≦200μm) through all the semiconductor fabrication and packaging assembly processes are very difficult since thin wafers lose the supporting strength. Usually, the thin wafer is attached to a supporting wafer with adhesive to increase its rigidity and bending stiffness. Thus, adhesive is the key enabling material for thin-wafer handling, and how to select adhesive materials for temporary bonding and de-bonding is the focus in this study. Two sizes of wafers are considered; the 200mm wafers are used to find out the important and unimportant parameters in selecting the adhesive and then apply them to the 300mm wafers. It will be shown that wafer thinning and PECVD (plasma enhanced chemical vapor deposition) in vacuum chamber are the two critical steps for thin-wafer handling. The 300mm wafers are thinned down to 50μm and evaluated in different structures including: (a) blanket wafers, (b) wafers with 80μm solder bumps, and (c) wafers with 20μm micro-bumps and TSVs in 10μm diameter and 40∼50μm pitch. Based on this study, a set of useful process guidelines and recommendations is provided.

48 citations


Journal ArticleDOI
13 Sep 2011-ACS Nano
TL;DR: Large-yield production of graphene flakes on glass by anodic bonding and it is shown that it is possible to easily transfer the flakes by the wedging technique, and the transfer on silicon does not damage graphene and lowers the doping.
Abstract: We report large-yield production of graphene flakes on glass by anodic bonding. Under optimum conditions, we counted several tens of flakes with lateral size around 20-30 mu m and a few tens of flakes with larger size. About 60-70% of the flakes have a negligible D peak. We show that it is possible to easily transfer the flakes by the wedging technique. The transfer on silicon does not damage graphene and lowers the doping. The charge mobility of the transferred flakes on silicon is on the order of 6000 cm(2)/V s (at a carrier concentration of 10(12) cm(-2)), which is typical for devices prepared on this substrate with exfoliated graphene.

48 citations


Patent
03 Mar 2011
TL;DR: In this article, the authors propose a composite integrated optical device (CIO) which includes a substrate including a silicon layer and a waveguide disposed in the silicon layer, and an optical detector and a bonding region disposed between the silicon and the optical detector.
Abstract: A composite integrated optical device includes a substrate including a silicon layer and a waveguide disposed in the silicon layer. The composite integrated optical device also includes an optical detector bonded to the silicon layer and a bonding region disposed between the silicon layer and the optical detector. The bonding region includes a metal-assisted bond at a first portion of the bonding region. The metal-assisted bond includes an interface layer positioned between the silicon layer and the optical detector. The bonding region also includes a direct semiconductor-semiconductor bond at a second portion of the bonding region.

Patent
19 Oct 2011
TL;DR: In this paper, the authors present a method for fabricating a composite structure by applying a bonding material to a first component, and converting the bonding material applied to the first component to an enhanced bonding layer by heating the bonding materials to outgas volatile species from the binding material.
Abstract: Embodiments of the present disclosure generally provide chamber components with enhanced thermal properties and methods of enhancing thermal properties of chamber components including bonding materials. One embodiment of the present disclosure provides a method for fabricating a composite structure. The method includes applying a bonding material to a first component, and converting the bonding material applied to the first component to an enhanced bonding layer by heating the bonding material to outgas volatile species from the bonding material. The outgassed volatile species accumulates to at least 0.05% in mass of the bonding material. The method further includes contacting a second component and the enhanced bonding layer to join the first and second components.

Journal ArticleDOI
S Kühne1, Christofer Hierold1
TL;DR: In this article, a wafer-level packaging technology enables the direct integration of electrical interconnects during low-temperature wafer bonding of a cap substrate featuring through silicon vias (TSVs) onto a MEMS device wafer.
Abstract: The presented wafer-level packaging technology enables the direct integration of electrical interconnects during low-temperature wafer bonding of a cap substrate featuring through silicon vias (TSVs) onto a MEMS device wafer. The hybrid bonding process is based on hydrophilic direct bonding of plasma-activated Si/SiO2 surfaces and the simultaneous interconnection of the device metallization layers with Cu TSVs by transient liquid phase (TLP) bonding of ultra-thin AuSn connects. The direct bond enables precise geometry definition between device and cap substrate, whereas the TLP bonding does not require a planarization of the interconnect metallization before bonding. The complete process flow is successfully validated and the fabricated devices' characterization evidenced ohmic interconnects without interfacial voids in the TLP bond.

Journal ArticleDOI
TL;DR: In this article, the authors investigated the use of metal-copper and silicon-silicon (Si-Si) direct bonding for potential application as hermetic seal in 3D microsystem packaging.
Abstract: Metallic copper–copper (Cu–Cu) thermo-compression bonding, oxide–oxide (SiO2–SiO2) fusion bonding and silicon–silicon (Si–Si) direct bonding are investigated for potential application as hermetic seal in 3D microsystem packaging. Cavities are etched to a volume of 1.4 × 10−3 cm3 in accordance with the MIL-STD-883E standard prescribed for microelectronics packaging. In the case of metal bonding, a clean Cu layer with a thickness of 300 nm and a Ti barrier layer with an underlying thickness of 50 nm are used. The wafer pair is bonded at 300 °C under the application of a bonding force of 5500 N for 1 h. On the other hand, Si–Si bonding and SiO2–SiO2 bonding are initiated at room ambient after surface activation, followed by annealing in inert ambient at 300 °C for 1 h. The bonded cavities are stored in a helium bomb chamber and the leak rate is measured with a mass spectrometer. An excellent helium leak rate below 5 × 10−9 atm cm3 s−1 is detected for all cases and this is at least ten times better than the reject limit.

Journal ArticleDOI
TL;DR: The CFP provides a low-cost avenue to preparation of micro glass cavities of high quality for applications such as micro-reactors, micro total analysis systems (μTAS), analytical and bio-analytical applications, and MEMS packaging.
Abstract: A novel foaming process—chemical foaming process (CFP)—using foaming agents to fabricate wafer-level micro glass cavities including channels and bubbles was investigated. The process consists of the following steps sequentially: (1) shallow cavities were fabricated by a wet etching on a silicon wafer; (2) powders of a proper foaming agent were placed in a silicon cavity, named ‘mother cavity’, on the etched silicon surface; (3) the silicon cavities were sealed with a glass wafer by anodic bonding; (4) the bonded wafers were heated to above the softening point of the glass, and baked for several minutes, when the gas released by the decomposition of the foaming agent in the ‘mother cavity’ went into the other sealed interconnected silicon cavities to foam the softened glass into cylindrical channels named ‘daughter channels’, or spherical bubbles named ‘son bubbles’. Results showed that wafer-level micro glass cavities with smooth wall surfaces were achieved successfully without contamination by the CFP. A model for the CFP was proposed to predict the final shape of the glass cavity. Experimental results corresponded with model predictions. The CFP provides a low-cost avenue to preparation of micro glass cavities of high quality for applications such as micro-reactors, micro total analysis systems (μTAS), analytical and bio-analytical applications, and MEMS packaging.

Patent
29 Sep 2011
TL;DR: In this article, a multilayer copper bonding wire includes a core member that is mainly composed of copper, and an outer layer that is formed on the core member and is usually composed of at least one noble metal selected from a group of Pd, Au, Ag and Pt.
Abstract: A bonding structure of a ball-bonded portion is obtained by bonding a ball portion formed on a front end of a multilayer copper bonding wire. The multilayer copper bonding wire includes a core member that is mainly composed of copper, and an outer layer that is formed on the core member and is mainly composed of at least one noble metal selected from a group of Pd, Au, Ag and Pt. Further, a first concentrated portion of such noble metal(s) is formed in a ball-root region located at a boundary with the copper bonding wire in a surface region of the ball-bonded portion.

Patent
03 Aug 2011
TL;DR: In this paper, a semiconductor device is provided which has internal bonds which do not melt at the time of mounting on a substrate, and a bonding material is used for internal bonding of the semiconductor devices.
Abstract: A semiconductor device is provided which has internal bonds which do not melt at the time of mounting on a substrate. A bonding material is used for internal bonding of the semiconductor device. The bonding material is obtained by filling the pores of a porous metal body having a mesh-like structure and covering the surface thereof with Sn or an Sn-based solder alloy.

Patent
10 Jun 2011
TL;DR: In this paper, a bonding material which enables formation of a bonded article in nitrogen, and can exhibit bonding strength to withstand practical use while having reduced bonding fluctuations between samples without a heat treatment procedure under pressurized or high temperature conditions.
Abstract: Provided is a bonding material which enables formation of a bonded article in nitrogen, and can exhibit bonding strength to withstand practical use while having reduced bonding fluctuations between samples without a heat treatment procedure under pressurized or high temperature conditions. The bonding material comprises: silver nanoparticles having an average primary particle diameter of 1 to 200 nm and coated with an organic substance having 8 carbon atoms or less; a dispersion medium having a boiling point of 230° C. or higher; and a flux component including an organic matter having at least two carboxyl groups. Particularly, it is preferable to use the silver nanoparticles and submicron silver particles in combination.

Journal ArticleDOI
TL;DR: In this article, the authors investigated TMMF photopolymer as a cost-efficient alternative to glass for the leak-tight sealing of high-density silicon microchannels and proposed adhesive bonding of cyclic olefin copolymer (COC) modules.
Abstract: We investigate TMMF photopolymer as a cost-efficient alternative to glass for the leak-tight sealing of high-density silicon microchannels. TMMF enables low temperature sealing and access to structures underneath via lamination and standard UV-lithography instead of costly glass machining and anodic bonding. TMMF is highly transparent and has a low autofluorescence for wavelengths larger than 400 nm. As the photopolymer is too thin for implementing bulky world-to-chip interfaces, we propose adhesive bonding of cyclic olefin copolymer (COC) modules. All materials were tested according ISO 10993-5 and showed no cytotoxic effects on the proliferation of L929 cells. To quantify the cost efficiency of the proposed techniques, we used an established silicon/Pyrex nanoliter dispenser as a reference and replaced structured Pyrex wafers by TMMF laminates and COC modules. Thus, consumable costs, manpower and machine time related to sealing of the microchannels and implementing the world-to-chip interface could be significantly reduced. Leak tightness was proved by applying a pressure of 0.2 MPa for 5 h without delamination or crosstalk between neighboring microchannels located only 100 µm apart. In contrast to anodic bonding, the proposed techniques are tolerant to surface inhomogeneities. They enable manufacturing of silicon/polymer microfluidics at lower costs and without compromising the performance compared to corresponding silicon/glass devices.

Patent
16 May 2011
TL;DR: In this article, a cylindrical, spherical or hemispherical shape of a solder cap is formed over the bonding pad of a silicon chip and the chip is flipped over to connect with a substrate board in the process of forming a flip-chip package.
Abstract: A cylindrical bonding structure and its method of manufacture. The cylindrical bonding structure is formed over the bonding pad of a silicon chip and the chip is flipped over to connect with a substrate board in the process of forming a flip-chip package. The cylindrical bonding structure mainly includes a conductive pillar and a solder cap. The conductive pillar is formed over the bonding pad of the silicon chip and the solder cap is attached to the upper end of the conductive pillar. The solder cap has a melting point lower than the conductive pillar. The solder cap can be configured into a cylindrical, spherical or hemispherical shape. To fabricate the cylindrical bonding structure, a patterned mask layer having a plurality of openings that correspond in position to the bonding pads on the wafer is formed over a silicon wafer. Conductive material is deposited into the openings to form conductive pillars and finally a solder cap is attached to the end of each conductive pillar.

Journal ArticleDOI
TL;DR: In this paper, a die-to-die adhesive bonding procedure using a DVS-BCB polymer was presented, which achieved less than 100 nm-thick bonding layers that enable evanescent coupling between III-V and silicon.
Abstract: Recently demonstrated evanescent hybrid III-V/Si lasers are mostly based on molecular bonding of a III-V die on an SOI photonic wafer. This procedure requires ultra-clean and smooth bonding surfaces and might be difficult to implement in an industry-scale fabrication process. As an alternative, we present a die-to-die adhesive bonding procedure, using a DVS-BCB polymer. We achieved less than 100 nm-thick bonding layers that enable evanescent coupling between III-V and silicon. The process shows good robustness and bonding strength, with a break-down shear stress of 2 MPa. The process can be scaled-up to a multiple die-to- wafer bonding procedure. Silicon photonics, based on the silicon-on-insulator (SOI) mate- rial platform, is considered as the technology of choice for the inte- gration of photonic devices with microelectronic circuits. However, the fabrication of efficient light sources in silicon photonics is chal- lenging due to silicon's indirect bandgap. Heterogeneous integration, achieved through the bonding of III-V semiconductor materials on a SOI platform, is the most promising approach to address this prob- lem. Among several schemes to couple the light from the III-V active medium into the SOI waveguide, 1 evanescent optical coupling is the most promising one and requires no additional coupling structures, 2 although the active material and the silicon waveguide need to be within several hundred nanometers. Several evanescent hybrid III-V/ Si lasers based on direct bonding were reported, 2-5 but this technique is very sensitive to surface topography, contamination or presence of particles and may not be sufficiently robust for industrial-scale fabri- cation where such strict requirements are difficult to meet. Compared to direct bonding, adhesive bonding is more tolerant to surface topography and particle contamination. It has been used for hybrid integration of photonic and electronic circuits. 6 Both ther- moplastic polymers, like SU-8 7 and thermosetting polymers, such as polyimide and BCB, 8 are used as adhesives. Our heterogeneous integration scheme assumes bonding unprocessed III-V dies on top of pre-patterned SOI waveguide circuits (see Fig. 1a). Precise bond- ing alignment is not required since the III-V dies are processed after the bonding. Post-bonding thermal budget should allow 350C proc- essing of the III-V components. Therefore, a thermosetting polymer, divinylsiloxane-bis-benzocyclobutene (DVS-BCB), also referred to as BCB, was chosen. It is a well-known material that is used both for wafer-to-wafer 8 and die-to-wafer bonding processes. 9 Recently, the fabrication of evanescently-coupled photodetectors, 10 hybrid III- V/Si lasers 11 and several other photonics devices 12 using BCB bonding has been demonstrated, but in these cases, a manual bond- ing procedure was used. This resulted in a difficult-to-control bond- ing procedure, which prevents scaling-up to an industrial-level fab- rication. In this paper, we report a machine-based BCB bonding process providing thin bonding layers (<100 nm), suitable for the fabrication of evanescently-coupled photonic devices, specifically hybrid III-V/Si lasers.

Journal ArticleDOI
TL;DR: In this article, as-cast Al/Mg 2 Si metal matrix composite was joined by transient liquid phase diffusion bonding using Cu interlayer at various bonding temperatures and durations.

Journal ArticleDOI
TL;DR: It is shown that intermetallic compound crystallization correlates with bonding duration, as a longer duration is applied, alumina fragmentation becomes pervasive, resulting in continuous alloy interfaces and robust bonds.

Journal ArticleDOI
TL;DR: In this article, a new variant of the anodic bonding technique called Activated Liquid Tin Solder Anodic Bonding (ALTSAB) is described, which offers a number of advantages for direct glass to metal sealing over conventional methods.

Patent
03 Feb 2011
TL;DR: In this article, a ball-bonded portion is formed by bonding to the aluminum electrode, a ball formed on a front end of the copper bonding wire, which exhibits a relative compound ratio R1 of 40-100%.
Abstract: It is an object of the present invention to provide a bonding structure and a copper bonding wire for semiconductor that are realizable at an inexpensive material cost, superior in a long-term reliability of a bonded portion bonded to an Al electrode, and suitable for use in a vehicle-mounted LSI. A ball-bonded portion is formed by bonding to the aluminum electrode a ball formed on a front end of the copper bonding wire. After being heated at any temperature between 130° C. and 200° C., the aforementioned ball-bonded portion exhibits a relative compound ratio R1 of 40-100%, the relative compound ratio R1 being a ratio of a thickness of a Cu—Al intermetallic compound to thicknesses of intermetallic compounds that are composed of Cu and Al and formed on a cross-sectional surface of the ball-bonded portion.

Journal ArticleDOI
TL;DR: In this article, the surface energies of bonded Si/Si wafer pairs were influenced by plasma treatment parameters, and the wafer surfaces and the bonding interfaces were analyzed to explore the bonding mechanism.
Abstract: Room-temperature Si/Si wafer direct bonding has been achieved successfully without wet chemistry treatment as well as no requiring annealing. Very strong bonding strength of Si/Si pairs, close to the bulk-fracture strength of silicon, is demonstrated at room temperature thanks to adding small amount of carbon tetrafluoride (CF 4 ) into oxygen plasma treatment. The surface energies of bonded Si/Si wafer pairs were influenced by plasma treatment parameters. Moreover, the wafer surfaces and the bonding interfaces are analyzed to explore the bonding mechanism. Adding small amount of CF 4 into O 2 plasma does not etch the Si surface in a short time (~60 s), but it renders fluorinated oxide grown on the Si surface, which should be less hydrophilic than the surface treated by O 2 plasma. Therefore, fewer water molecules at bonding interface may be prone to produce many covalent bonds via polymerization reaction and result in strong bonding at room temperature.

Patent
25 Jul 2011
TL;DR: In this paper, the authors present a helium leak test for implantable ophthalmic devices with conductive feedthroughs formed of conductive material running through channels in the wafers providing electrical connections to components inside the sealed cavities.
Abstract: Many modern implantable ophthalmic devices include electronic components, such as electro-active cells, that can leak harmful substances into the eye and/or surrounding tissue. In the implantable ophthalmic devices disclosed herein, electronic components are hermetically sealed within cavities formed by bonding together two or more glass wafers. Bonding the glass wafers together with laser fusion bonding, pressure bonding, or anodic bonding creates a seal that leaks at a rate of less than about 5x10-12 Pa m3 s-1 when subjected to a helium leak test. Hermetically sealed feedthroughs formed of conductive material running through channels in the wafers provide electrical connections to components inside the sealed cavities. In some cases, the conductive material has a coefficient of thermal expansion (CTE) that is roughly equal to (e.g., within 10% of) the CTE of the glass wafers to minimize leakage due to thermally induced expansion and contraction of the conductive material and the glass wafer.

Proceedings ArticleDOI
17 Mar 2011
TL;DR: In this article, a versatile and reliable wafer-level hermetic packaging technology using an anodically-bondable low temperature co-fired ceramic (LTCC) wafer, in which electrical feedthroughs and passive components can be embedded.
Abstract: This paper describes a versatile and reliable wafer-level hermetic packaging technology using an anodically-bondable low temperature cofired ceramic (LTCC) wafer, in which electrical feedthroughs and passive components can be embedded. The hermeticity of vacuum-sealed cavities was confirmed after 3000 cycles of heat shock (−40 °C/+150 °C, 30 min/30 min) by diaphragm method. The width of seal rings necessary for hermetic sealing of saw-diced chips is 0.1 mm or less. Electrical connection between MEMS on a Si wafer and feedthroughs in the LTCC wafer was established using Sn-containing metal stack simultaneously with anodic bonding. The developed wafer-level hermetic packaging technology is ready for practical applications.

Journal ArticleDOI
TL;DR: In this article, a microturbopump was constructed from five wafers: one glass wafer, one silicon-on-insulator (SOI) wafer and three silicon wafer.
Abstract: In Part I of this two-part paper, the design approach for a microturbopump was presented. This second part describes the fabrication and experimental characterization of the demo microturbopump device, which includes hydrostatic bearings, a spiral-groove viscous pump, and a multistage microturbine. The device is composed of five wafers: one glass wafer, one silicon-on-insulator (SOI) wafer, and three silicon wafers. The silicon and SOI wafers are patterned using shallow and deep reactive ion etching (total of 14 masks), while the Pyrex glass wafer was ultrasonically drilled. Anodic bonding, fusion bonding, and manual assembly with alignment structures were then used to complete the device and enclose the 4-mm-diameter rotor. The approach allowed the microfabrication of unique interdigitated blade rows in the microturbine and interchangeable parts for flexible testing. After completion of the device, bearings were first tested in static and dynamic conditions. Then, the turbine was characterized with compressed air only and spun up to 330 000 r/min, producing 0.38 W of mechanical power. The pump performance map was also completely characterized for speeds up to 120 000 r/min, showing a maximum pump flow rate of 9 mg/s and maximum pressure rise of 240 kPa. In a turbopump system performance test using compressed air to the turbine and water in the pump, the rotor was spun up to 116 000 r/min, which corresponds to 25 m/s in tip speed. At this condition, the turbine produced 0.073 W of mechanical power with 41 kPa of differential pressure and 24 mg/s of flow rate, and the pump pressurized water by 88 kPa with a flow rate of 4 mg/s, maintaining constant efficiency of 7.2% over the operating range. Out of the total power produced by the turbine, 10% was consumed by the viscous pump, while the rest was dissipated by other components through viscous drag. The system-level predictions by models introduced in Part I also match the measured performance, suggesting that a valid design basis has been established for this type of rotating micromachine.

Journal ArticleDOI
TL;DR: In this article, a wafer-level processing technology that is used to precisely fabricate regular arrays of deep cavities in a Pyrex 7740 glass wafer is presented by silicon molding and vacuum anodic bonding.
Abstract: A wafer-level processing technology that is used to precisely fabricate regular arrays of deep cavities in a Pyrex 7740 glass wafer is presented by silicon molding and vacuum anodic bonding. The fabrication process is based on etching cavities in silicon, followed by vacuum anodic bonding of a glass wafer to the etched silicon wafer. The bonded wafers are then heated inside a furnace at a temperature above the softening point of the glass, and the glass is shaped into cavities. The processing parameters are obtained by a series of experiments. The array of square glass cavities with 10-μm side length is accurately fabricated. Finally, a wafer-level hermetic packaging process is demonstrated after the second anodic bonding process. A diced single chip has been tested for leakage rate and bonding strength, which shows that the presented fabrication process is appropriate for the wafer-level packaging of MEMS devices.