scispace - formally typeset
Search or ask a question

Showing papers on "Anodic bonding published in 2012"


Journal ArticleDOI
TL;DR: In this paper, a Ti-6Al-4V alloy was bonded to electrolytic copper at various temperatures of 875, 890 and 900°C and times of 15, 30 and 60 min through diffusion bonding.

108 citations


BookDOI
11 Jan 2012
TL;DR: In this article, the authors proposed a temporary adhesive bonding for three-dimensional integration and packaging of microelectromechanical systems, which can be used in conjunction with Reconfiguration of known Good Dies for threedimensional integrated systems.
Abstract: TECHNOLOGIES A. Adhesive and Anodic Bonding Glass Frit Wafer Bonding Wafer Bonding Using Spin-On Glass as Bonding Material Polymer Adhesive Wafer Bonding Anodic Bonding B. Direct Wafer Bonding Direct Wafer Bonding Plasma-Activated Bonding C. Metal Bonding Au/Sn Solder Eutectic Au-In Bonding Thermocompression Cu-Cu Bonding of Blanket and Patterned Wafers Wafer-Level Solid-Liquid Interdiffusion Bonding D. Hybrid Metal/Dielectric Bonding Hybrid Metal/Polymer Wafer Bonding Platform Cu/SiO2 Hybrid Bonding Metal/Silicon Oxide Hybrid Bonding APPLICATIONS Microelectromechanical Systems Three-Dimensional Integration Temporary Bonding for Enabling Three-Dimensional Integration and Packaging Temporary Adhesive Bonding with Reconfiguration of Known Good Dies for Three-Dimensional Integrated Systems Thin Wafer Support System for above 250 C Processing and Cold De-bonding Temporary Bonding: Electrostatic

103 citations


Journal ArticleDOI
TL;DR: Owing to the mild conditions required in the bonding process, the method has the potential to allow the integration of a range of functional elements into nanofluidic chips during manufacture, which is nearly impossible in the conventional high-temperature fusion bonding process.
Abstract: Owing to the well-established nanochannel fabrication technology in 2D nanoscales with high resolution, reproducibility, and flexibility, glass is the leading, ideal, and unsubstitutable material for the fabrication of nanofluidic chips. However, high temperature (~1,000 °C) and a vacuum condition are usually required in the conventional fusion bonding process, unfortunately impeding the nanofluidic applications and even the development of the whole field of nanofluidics. We present a direct bonding of fused silica glass nanofluidic chips at low temperature, around 200 °C in ambient air, through a two-step plasma surface activation process which consists of an O2 reactive ion etching plasma treatment followed by a nitrogen microwave radical activation. The low-temperature bonded glass nanofluidic chips not only had high bonding strength but also could work continuously without leakage during liquid introduction driven by air pressure even at 450 kPa, a very high pressure which can meet the requirements of most nanofluidic operations. Owing to the mild conditions required in the bonding process, the method has the potential to allow the integration of a range of functional elements into nanofluidic chips during manufacture, which is nearly impossible in the conventional high-temperature fusion bonding process. Therefore, we believe that the developed low-temperature bonding would be very useful and contribute to the field of nanofluidics.

83 citations


Patent
02 Mar 2012
TL;DR: A bonding element, a bonding element matrix and composite materials with a wide range of attractive properties may be optimized, including, but not limited to, mechanical properties, thermal properties, magnetic properties, optical properties and nuclear properties as mentioned in this paper.
Abstract: A bonding element, a bonding element matrix and composite materials with a wide range of attractive properties that may be optimized, including, but not limited to, mechanical properties, thermal properties, magnetic properties, optical properties and nuclear properties, as a result of a first layer and second layer structure or core, first layer, and second layer structure of the bonding elements, as well as methods for making the bonding elements and the corresponding ceramic and/or composite materials.

64 citations


Journal ArticleDOI
TL;DR: In this paper, anodic bonding of silicon and relatively thick glass wafers was used for the fabrication of atomic reference cells with dimensions larger than standard micromachined cells for use in compact atomic devices such as vapour-cell atomic clocks or magnetometers.
Abstract: This paper presents a new fabrication method to manufacture alkali reference cells having dimensions larger than standard micromachined cells and smaller than glass-blown ones, for use in compact atomic devices such as vapour-cell atomic clocks or magnetometers. The technology is based on anodic bonding of silicon and relatively thick glass wafers and fills a gap in cell sizes and technologies available up to now: on one side, microfabrication technologies with typical dimensions <= 2 mm and on the other side, classical glass-blowing technologies for typical dimensions of about 6-10 mm or larger. The fabrication process is described for cells containing atomic Rb and spectroscopic measurements (optical absorption spectrum and double resonance) are reported. The analysis of the bonding strength of our cells was performed and shows that the first anodic bonding steps exhibit higher bonding strengths than the later ones. The spectroscopic results show a good quality of the cells. From the double-resonance signals, we predict a clock stability of approximate to 3 x 10(-11) at 1 s of integration time, which compares well to the performance of compact commercial Rb atomic clocks.

63 citations


Patent
03 May 2012
TL;DR: In this paper, the formation of both electrical and optical interconnect vias within the wafer bonding interface to transfer electrical and optic signals between the bonded wafers is discussed. But the authors do not consider the transfer of optical signals across the bonding interface.
Abstract: Methods for bonding semiconductor wafers requiring the transfer of electrical and optical signals between the bonded wafers and across the bonding interface. The methods for bonding of semiconductor wafers incorporate the formation of both electrical and optical interconnect vias within the wafer bonding interface to transfer electrical and optical signals between the bonded wafers. The electrical vias are formed across the bonding surface using multiplicity of metal posts each comprised of multiple layers of metal that are interfused across the bonding surface. The optical vias are formed across the bonding surface using multiplicity of optical waveguides each comprised of a dielectric material that interfuses across the bonding interface and having an index of refraction that is higher than the index of refraction of the dielectric intermediary bonding layer between the bonded wafers. The electrical and optical vias are interspersed across the bonding surface between the bonded wafers to enable uniform transfer of both electrical and optical signals between the bonded wafers.

48 citations


Journal ArticleDOI
TL;DR: In this article, the authors used transient liquid phase bonding and 50μm thick Sn-10Zn-3.5Bi film as interlayer to join two dissimilar aerospace alloys Al7075 and Ti-6Al-4V.

47 citations


Proceedings ArticleDOI
30 Jul 2012
TL;DR: In this article, a low cost wire bonding solution other than gold wire is proposed as an alternative to Au bonding wire, which has low Young's modulus and hardness property, which can be used in semiconductor packaging, where wire bonding is the main technology for electrical connections between chip and leadframe or substrate.
Abstract: In semiconductor packaging, wire bonding is the main technology for electrical connections between chip and leadframe or substrate. Gold wire bonding has the advantages of a fast bonding process, excellent electrical property and stable chemical property. It has been widely used in various electronic packages. Gold prices have been raised significantly over the last few years. Many manufactures have been investigating ways to replace the conventional gold wire with various new materials. Copper wire bonding is an alternative interconnection technology. Cu wire has superior electrical and thermal conductivities as well as higher tensile strength, elongation and better “ball neck” strength. On the other hand, the higher hardness of Cu wire requires higher ultrasonic power and bonding force, which lead to high risk of cratering for ball bonding and tearing for wedge bonding. These will cause some package limitation and wire bonder machine downtime or low units per hour (UPH). Ag alloy wire has low Young's modulus and hardness property. It is a low cost wire bonding solution other than gold wire. In this study, Ag alloy wire is proposed as an alternative to Au bonding wire. Emphasis is placed on the wire bonding workability and reliability of using Ag-Au-Pd alloy wire for TSOP package. Also, wire bonding parameter such as electronic flame off (EFO), bond force, ultrasonic power, heat block temperature and time for ball and wedge bonding are optimized. Furthermore, the response for parameter optimization is determined by the Dage bond tester. Package reliability is determined through environmental tests that include pressure cooker test (PCT), temperature cycle test (TCT) and high temperature storage life test (HTSL). The tested samples were studied by focused ion beam (FIB), scanning electron microscopy (SEM) and energy dispersive spectrometer analyses (EDS). Intermetallic compound growth behavior during reliability test is characterized and compared to Al-Au and Al-Cu systems. Ag-Al didn't have excessive volume variation and void occurrence to get better bonding performance during various reliability tests.

46 citations


Journal ArticleDOI
TL;DR: Optimal parameters found usingodic bonding of nanolayers results in high quality 2D layers, in most cases much larger than those obtained by 'Scotch tape' microcleavage, with higher yields and which are easily transferable to other substrates.
Abstract: Anodic bonding of nanolayers is an easy technique based on a simple apparatus, which has already proven successful in application in the fabrication of high quality graphene. Here we demonstrate its extension to the fabrication of high quality nanolayers from several layered materials. The strengths of this technique are its high throughput rate and ease of application. All fabrication parameters are controllable and need to be determined carefully. We report optimal parameters found for nine layered materials. In general, using optimal parameters results in high quality 2D layers, in most cases much larger than those obtained by 'Scotch tape' microcleavage, with higher yields and which are easily transferable to other substrates. Moreover the samples obtained are clean and the good optical contrast of these layers on the glass substrate makes their identification very easy. This is thus the technique of choice for making nanolayers in the laboratory from any layered material.

46 citations


Proceedings ArticleDOI
30 Jul 2012
TL;DR: In this article, the polyimide material HD3007 is used for temporary bonding of silicon wafers to carrier wafer by using a thermo compression process and two de-bonding concepts based on laser assisted and solvent assisted release processes are presented.
Abstract: Temporary wafer bonding for thin wafer processing is one of the key technologies of 3D system integration. In this context we introduce the polyimide material HD3007 which is suitable for temporary bonding of silicon wafers to carrier wafers by using a thermo compression process. Coating and bonding processes for 200 mm and 150 mm wafers with and without topography as well as two de-bonding concepts which are based on laser assisted and solvent assisted release processes are presented. Based on tests with temporary bonded 200 mm wafers, we found a very high compatibility of the bonded compound wafers with standard WLP process equipment and work flows suitable for backside processing of “via first” TSV wafers. Processes like silicon back grinding to a remaining thickness of 60 μm, dry etching, wet etching, CMP, PVD, spin coating of resists and polymers, lithography, electro plating and polymer curing were evaluated and are described in detail. Even at high temperatures up to 300 °C and vacuum levels up to 10–4 mbar, the temporary bond layer was stable and no delamination occurred. 60 μm thin wafers could be processed and de-bonded without any problems using both release methods. De-bonding times of less than a couple minutes can be realized with laser assisted de-bonding and several minutes with a solvent based release. Compared to glues of other temporary handling systems, the proposed material offers the highest temperature budget for thin wafer backside processing as well as fast and easy de-bonding at room temperature.

46 citations


Journal ArticleDOI
TL;DR: In this article, the authors present measurements of bonding energies of directly-bonded silicon wafers under anhydrous nitrogen conditions in order to prevent the water stress corrosion effect.
Abstract: Bonding energy represents an important parameter for direct bonding applications as well as for the elaboration of physical mechanisms at bonding interfaces. Measurement of bonding energy using double cantilever beam (DCB) under prescribed displacement is the most used technique thanks to its simplicity. The measurements are typically done in standard atmosphere with relative humidity above 30%. Therefore, the obtained bonding energies are strongly impacted by the water stress corrosion at the bonding interfaces. This paper presents measurements of bonding energies of directly bonded silicon wafers under anhydrous nitrogen conditions in order to prevent the water stress corrosion effect. It is shown that the measurements under anhydrous nitrogen conditions (less than 0.2 ppm of water in nitrogen) lead to high stable debonding lengths under static load and to higher bonding energies compared to the values measured under standard ambient conditions. Moreover, the bonding energies of Si/SiO2 or SiO2/SiO2 bonding interfaces are measured overall the classical post bond annealing temperature range. These new results allow to revisit the reported bonding mechanisms and to highlight physical and chemical phenomena in the absence of stress corrosion effect.

Patent
24 Feb 2012
TL;DR: In this article, a method of treating the surface of a semiconductor wafer through the formation of a bonding system is provided in order to enhance the handling of the wafer during subsequent processing operations.
Abstract: A method of treating the surface of a semiconductor wafer through the formation of a bonding system is provided in order to enhance the handling of the wafer during subsequent processing operations. The method generally comprises the steps of applying a release layer (10) and an adhesive (15) to different wafers (5, 20); bonding the wafers together to form a bonded wafer system; performing at least one wafer processing operation (e.g., wafer grinding, etc.) to form a thin processed wafer; debonding the wafers; and then cleaning the surface of the processed wafer with an organic solvent that is capable of dissolving the release layer or any residue thereof. The adhesive includes a vinyl - functionalized polysiloxane oligomeric resin, a Si-H functional polysiloxane oligomeric resin, a catalyst, and optionally an inhibitor, while the release layer is comprised of either a silsesquioxane -based resin or a thermoplastic resin.

Journal ArticleDOI
TL;DR: In this paper, thin Au sealing rings were used as bonding layers for surface activated bonding at atmospheric pressure, and a sufficiently high die-shear strength was achieved via surface activation using an argon radiofrequency plasma treatment.
Abstract: Low-temperature hermetic bonding based on surface activation is useful for optical microsystem packaging because high bonding temperatures may degrade microsystem performance and sensitivity. However, surface-activated bonding (SAB) is usually performed under ultra-high-vacuum conditions, and the bonding environment cannot be chosen freely. In this study, thin Au sealing rings (300–500 nm thick, and 100 μm wide) were used as bonding layers for SAB at atmospheric pressure. A sufficiently high die-shear strength was achieved via surface activation using an argon radio-frequency plasma treatment. On examination of the fracture surfaces of the broken seal after the die-shear test, we observed that the fractures typically occurred at the deposited interface or partially inside the bulk substrates. Hermeticity was evaluated by measuring the resonance characteristics of photothermally excited microcantilevers inside the cavities. The samples bonded at the low temperature of 150 °C under the application of a bonding pressure of 313 MPa for 30 s showed leakage rates of less than 5.0 × 10−9 Pa·m3 s−1, which is the rejection limit defined by the MIL-STD-883G specification.

Patent
11 Jan 2012
TL;DR: In this article, a bonding material comprising metal particles coated with an organic substance having carbon atoms of 2 to 8, wherein the metal particles comprises first portion of 100 nm or less, and second portion larger than 100 nm but not larger than 1000 µm, each of the portions having at least peak of a particle distribution, based on a volumetric base.
Abstract: A bonding material comprising metal particles coated with an organic substance having carbon atoms of 2 to 8, wherein the metal particles comprises first portion of 100 nm or less, and a second portion larger than 100 nm but not larger than 100 μm, each of the portions having at least peak of a particle distribution, based on a volumetric base. The disclosure is further concerned with a bonding method using the bonding material.

Journal ArticleDOI
TL;DR: A design approach in which uncollapsed CMUT array elements are sized so as to operate at the maximum radiation impedance and have gap heights such that the generated electrostatic force can sustain a plate displacement with full swing at the given drive amplitude is described.
Abstract: Capacitive micromachined ultrasonic transducers (CMUTs) have great potential to compete with piezoelectric transducers in high-power applications. As the output pressures increase, nonlinearity of CMUT must be reconsidered and optimization is required to reduce harmonic distortions. In this paper, we describe a design approach in which uncollapsed CMUT array elements are sized so as to operate at the maximum radiation impedance and have gap heights such that the generated electrostatic force can sustain a plate displacement with full swing at the given drive amplitude. The pro- posed design enables high output pressures and low harmonic distortions at the output. An equivalent circuit model of the array is used that accurately simulates the uncollapsed mode of operation. The model facilities the design of CMUT parameters for high-pressure output, without the intensive need for computationally involved FEM tools. The optimized design requires a relatively thick plate compared with a conventional CMUT plate. Thus, we used a silicon wafer as the CMUT plate. The fabrication process involves an anodic bonding process for bonding the silicon plate with the glass substrate. To eliminate the bias voltage, which may cause charging problems, the CMUT array is driven with large continuous wave signals at half of the resonant frequency. The fabricated arrays are tested in an oil tank by applying a 125-V peak 5-cycle burst sinusoidal signal at 1.44 MHz. The applied voltage is in- creased until the plate is about to touch the bottom electrode to get the maximum peak displacement. The observed pressure is about 1.8 MPa with -28 dBc second harmonic at the surface of the array.

Patent
23 Feb 2012
TL;DR: In this paper, a method for manufacturing the MEMS pressure sensor is presented, which is compatible with integrated circuit manufacturing technique, and allows to effectively reduce manufacturing costs and a downsized sensor.
Abstract: An MEMS pressure sensor comprising: a first substrate (100a") having a sensing diaphragm (101a") of a capacitive pressure sensor unit, an electrical connection layer, and a first bonding layer, a second substrate (200a") having an inter-conductor dielectric layer, a conductor connection layer arranged within the inter-conductor dielectric layer, and a second bonding layer. The second substrate (200a") and the first substrate (100a") are oppositely arranged, and are fixedly connected via the first bonding layer and the second bonding layer; the first bonding layer and the second bonding layer have matching patterns and are both made from a conductive material. The capacitive pressure sensor unit comprises the sensing diaphragm (101a"), a reference pressure cavity, and a fixed electrode. The reference pressure cavity is arranged between the sensing diaphragm (101a") and the second substrate (200a"). The fixed electrode is arranged within the reference pressure cavity. An opening is provided on the rear face of the first substrate (100a"). The opening exposes the sensing diaphragm (101a") to the atmosphere. Also provided is a method for manufacturing the MEMS pressure sensor. The method is compatible with integrated circuit manufacturing technique, and allows for effectively reduced manufacturing costs and a downsized sensor.

Journal ArticleDOI
TL;DR: In this article, a full color 4-in-4-VGA amorphous silicon active-matrix (AM) LCD with 75 μm-thick flexible glass backplane and frontplane substrates was demonstrated.
Abstract: We have demonstrated a full color 4-in quarter-VGA amorphous silicon active-matrix (AM) LCD (AM-LCD) with 75 μm thick flexible glass backplane and frontplane substrates. The device was built directly on the flexible glass without using a processing carrier or additional protective layers. The overall thickness of the LC cell is <; 170 μ m. Process modifications were made to accommodate the flexibility and reduced thickness of the substrate. These process changes were related to: thin film deposition, photolithography, cell assembly and filling, glass scribing, and driver bonding. The achieved results indicate that the incorporated flexible glass substrates are compatible with the fabrication of high quality color AM-LCDs.

Proceedings ArticleDOI
16 Aug 2012
TL;DR: This work focuses on a novel ZoneBOND approach to face the challenges of thin wafer debonding and handling, which are still challenging for high-volume manufacturing.
Abstract: Among the technological developments pushed by the emergence of 3D Stacked IC technologies, temporary wafer bonding and thinning have become key elements in device processing over the past years. While these elements are now mature enough for high-volume manufacturing, thin wafer debonding and handling still remain challenging. Hence this work focuses on a novel ZoneBOND approach to face these challenges.

Patent
20 Jan 2012
TL;DR: In this article, the occurrence of uneven drying in the center and end of a surface of a bonding layer during a desolvation process of a pre-drying step is reduced to ensure highly reliable bonding without peeling of the bonding surface even after repeated exposure to heat shock after bonding.
Abstract: The occurrence of uneven drying in the center and end of a surface of a bonding layer during a desolvation process of a pre-drying step is reduced to ensure highly reliable bonding without peeling of a bonding surface even after repeated exposure to heat shock after bonding. The bonding material of the present invention to achieve the object contains silver nanoparticles coated with organic substance having 6 or less carbon atoms and having an average primary particle diameter of 10 to 30 nm as main silver particles, silver nanoparticles coated with an organic substance having 6 or less carbon atoms and having an average primary particle diameter of 100 to 200 nm as secondary silver particles, two kinds of solvents having different boiling points, and a dispersant.

Book ChapterDOI
19 Sep 2012
TL;DR: This chapter presents Low Temperature Wafer-Level Metal Thermo-Compression Bonding Technology for 3D Integration, a new approach to 3D Bonding that combines low temperature wafer-level metalThermoCompression technology with 3D integration techniques.
Abstract: © 2012 Fan and Tan, licensee InTech. This is an open access chapter distributed under the terms of the Creative Commons Attribution License (http://creativecommons.org/licenses/by/3.0), which permits unrestricted use, distribution, and reproduction in any medium, provided the original work is properly cited. Low Temperature Wafer-Level Metal Thermo-Compression Bonding Technology for 3D Integration


Journal ArticleDOI
TL;DR: In this paper, a low temperature (280 °C) Parylene-C wafer bonding technique was proposed to realize high pressure microfluidics-to-CMOS integration.
Abstract: High pressure-rated channels allow microfluidic assays to be performed on a smaller footprint while keeping the throughput, thanks to the higher enabled flow rates, opening up perspectives for cost-effective integration of CMOS chips to microfluidic circuits. Accordingly, this study introduces an easy, low-cost and efficient method for realizing high pressure microfluidics-to-CMOS integration. First, we report a new low temperature (280 °C) Parylene-C wafer bonding technique, where O2 plasma-treated Parylene-C bonds directly to Si3N4 with an average bonding strength of 23 MPa. The technique works for silicon wafers with a nitride surface and uses a single layer of Parylene-C deposited only on one wafer, and allows microfluidic structures to be easily formed by directly bonding to the nitride passivation layer of the CMOS devices. Exploiting this technology, we demonstrated a microfluidic chip burst pressure as high as 16 MPa, while metal electrode structures on the silicon wafer remained functional after bonding.

Journal ArticleDOI
TL;DR: In this article, the behavior of alumina particles layer at interface during the rolling is investigated and the effects of particle size and amount of particle at interface on bonding of the commercial pure aluminum sheets are also studied.

Proceedings ArticleDOI
30 Jul 2012
TL;DR: In this article, the authors demonstrate chip-to-wafer assembly based on aligned Cu-Cu direct bonding using a collective die surface preparation for direct bonding and self-assembly technique.
Abstract: We demonstrate chip to wafer assembly based on aligned Cu-Cu direct bonding. A collective die surface preparation for direct bonding has implemented to develop dies direct bonding, defect free. An accurate pick and place equipment was adapted to ensure a particle free environment. After a damascene-like surface preparation, chips were bonded with less than 1μm misalignment. 400°C bonded daisy chains on die to wafer structure are perfectly ohmic. Concurrently, to overcome speed limitation of pick and place technique, a self-assembly technique chip is developed. This technique is based on capillary effect for self alignment and direct bonding for assembly. A less than 1 μm alignment accuracy and a 90 per cent self assembly process yield are obtained.

Journal ArticleDOI
TL;DR: A fluorine containing plasma activated bonding method is developed to achieve sufficient bonding at room temperature in air ambient with no heating process, and it does work well for bonding of Si-based materials except for Si 3 N 4 /Si3 N 4 bonded pairs.

Journal ArticleDOI
TL;DR: In this paper, a laser-assisted glass frit bonding process is proposed for obtaining hermetic sealing of photoelectronic and electrochemical devices, as it allows temperature-sensitive materials to be used inside them.
Abstract: A novel sealing method is proposed for encapsulating devices comprised of glass substrates. This sealing method is based on applying a glass frit paste cord onto the substrate and then using a laser beam to locally supply the necessary energy to allow the formation of a hermetic bonding layer. A detailed description of the laser bonding technique, the necessary equipment and method, and a preliminary study is carried out. The need to apply mechanical pressure during the bonding step is averted, thus facilitating the manufacturing process. The glass bonding cord obtained by the laser-assisted process was found to have an excellent contact with both substrates and no gas inclusions or voids were detected, indicating that an effective sealing was achieved. Preliminary hermeticity tests of the laser-bonded cells yielded encouraging results. The developed laser-assisted glass frit bonding process is a promising technique for obtaining hermetic sealing of photoelectronic and electrochemical devices, as it allows temperature-sensitive materials to be used inside them.

Book ChapterDOI
01 Jan 2012
TL;DR: In this article, the authors investigated diffusion bonding of titanium, steel and copper alloys used in the fabrication of several aerospace components with various complex configurations, including high pressure tanks for attitude control of spacecraft, a combustion chamber with copper cooling channels and lightweight structural panels.
Abstract: Diffusion bonding is a solid-state bonding process The metal components being joined undergo only microscopic deformation, and the joining region is homogeneous – without secondary materials or liquid phases This chapter investigates diffusion bonding of titanium, steel and copper alloys used in the fabrication of several aerospace components with various complex configurations The result shows that the diffusion-bonding method can be successfully used with blow forming to form near-net-shape aerospace components, including high-pressure tanks for attitude control of spacecraft, a combustion chamber with copper cooling channels and lightweight structural panels

Journal ArticleDOI
TL;DR: In this paper, a study of the thermal bond strength of different grades of TOPAS cyclic olefin copolymer (COC) was conducted and the strength development and chain inter-diffusion across the bonded interface between different TOPAS grades was studied, and the influence of molecular weight (Mw) and bonding temperature was considered.
Abstract: Thermal bonding of the same polymer is an important method for obtaining good thermal sealing between two polymeric substrates in microfluidic devices. It is worthwhile to use different grades of the same polymer which have different glass transition temperatures (Tg) because no change in microchannel profile and dimensions would occur in the higher Tg substrate during thermal bonding. However, apart from using different grades of a polymer with different Tg, there is no fundamental basis for the selection of suitable substrate pairs. Thus an in-depth study of the thermal bond strength of different grades of TOPAS cyclic olefin copolymer (COC) was conducted. The strength development and chain inter-diffusion across the bonded interface between different TOPAS grades was studied, and the influence of molecular weight (Mw) and bonding temperature was considered. Bonding in both symmetric (between two substrates of the same COC grade) and non-symmetric interfaces (between two substrates of different COC grades) was compared. Bonding was conducted at both below and above the Tg. The thermal bond strengths were assessed from lap shear specimens prepared using a range of bonding temperature, time and pressure. Thermal bonding between 5013 and 6015 polymer substrates at 125 °C for 6 min time under 2 MPa pressure was found to be the most favorable conditions at which no deformation in the geometry of the COC microchannel has been observed. The failure surfaces of the specimens were characterized by scanning electron microscopy (SEM). Through the above, a basis for selecting different grades of TOPAS to obtain thermally bonded COC microfluidic devices with high strength was developed. The principles established are believed to be applicable to other polymeric microfluidic chips.

Patent
23 Jan 2012
TL;DR: In this article, two separate silicon dies are attached to opposite sides of a silicon or glass spacer, the sides of which are recessed and the recesses formed therein at least partially evacuated.
Abstract: A MEMS differential pressure sensing element (200) is provided by two separate silicon dies (210,224) attached to opposite sides of a silicon or glass spacer (202), the sides of which are recessed and the recesses formed therein at least partially evacuated. The dies are attached to the spacer using silicon - tosilicon bonding provided in part by silicon oxide layers (219,220) if a silicon spacer is used. The dies can be also attached to the spacer using anodic bonding if a glass spacer is used. Conductive vias (242) extend through the layers and provide electrical connections between Wheatstone bridge circuits formed from piezoresistors in the silicon dies.

Journal ArticleDOI
TL;DR: The mild conditions required for the bonding process enables the fabrication of lab-on-a-chip devices incorporating biomolecules, as is demonstrated with the implementation of a simple heterogeneous immunoassay in a microfluidic device with amperometric detection.
Abstract: In this work, a new fabrication technology for microfluidics based on the use of wax is described. Microfluidic structures are assembled using wax as both a thermoplastic adhesive layer between two glass substrates and a spacer layer defining the microchannels. Wax patterns with dimensions down to 25 μm are easily produced on glass substrates using specially developed decal-transfer microlithography. A complete microfluidic system is created by bonding the wax patterned layer with an additional glass substrate. On the basis of the special melting behavior of waxes, an effective glass-wax bonding is achieved at 40 °C by applying a soft pressure and without the requirement of any glass pretreatment. Wax bonding provides an effective sealing of the fluidic networks even on nonflat glass substrates (i.e., containing metal electrodes). The mild conditions required for the bonding process enables the fabrication of lab-on-a-chip devices incorporating biomolecules, as is demonstrated with the implementation of a...