scispace - formally typeset
Search or ask a question

Showing papers on "Anodic bonding published in 2022"


Journal ArticleDOI
TL;DR: In this paper , the bonding mechanism of low-temperature CuCu thermal compression bonding (TCB) with passivation layer has been investigated, and the results indicate that grain boundary diffusion of Cu leads to formation of amorphous Cu at the bonding interface in the passivated-Cu structure.

13 citations


Journal ArticleDOI
TL;DR: In this paper , the authors adopted (111)-oriented Cu with high surface diffusivity to achieve low-temperature and low-pressure Cu/SiO2 hybrid bonding.
Abstract: We adopted (111)-oriented Cu with high surface diffusivity to achieve low-temperature and low-pressure Cu/SiO2 hybrid bonding. Electroplating was employed to fabricate arrays of Cu vias with 78% (111) surface grains. The bonding temperature can be lowered to 200 °C, and the pressure is as low as 1.06 MPa. The bonding process can be accomplished by a 12-inch wafer-to-wafer scheme. The measured specific contact resistance is 1.2 × 10−9 Ω·cm2, which is the lowest value reported in related literature for Cu-Cu joints bonded below 300 °C. The joints possess excellent thermal stability up to 375 °C. The bonding mechanism is also presented to provide more understanding on hybrid bonding.

13 citations


Proceedings ArticleDOI
01 May 2022
TL;DR: In this paper , the characteristics of the bonding interface were evaluated for each of the heterogeneous oxide bonding and Cu-Cu bonding; the combination has an adhesion strength that is 38.9% higher than that of CVD#1 homogeneous dielectric bonding at 2.5 J/m2.
Abstract: To overcome the technological limitations of existing bonding technology using solder u-bumps, die-to-wafer (D2W) hybrid bonding technology is attracting attention. Hybrid bonding does not entail melting or re-solidification of metal and does not require an intermediate for the interconnection of the chip and substrate, as direct bonding is realized using Cu-pad and dielectrics. Consequently, this enables advancement in multiple aspects, including bump density, power efficiency, speed, bandwidth, and thermal dissipation. In this study, we studied D2W hybrid bonding characteristics using heterogeneous dielectrics. The characteristics of the bonding interface were evaluated for each of the heterogeneous oxide bonding and Cu–Cu bonding; The heterogeneous dielectrics combination has an adhesion strength that is 38.9% higher than that of CVD#1 homogeneous dielectric bonding at 2.5 J/m2. When the vertical shape that materialized between the Cu pads was observed with TKD, it was confirmed that the grains between the upper and lower Cu pads expanded well in various directions, and the boundary of the interface disappeared. In addition, EELS analysis results confirmed that there was no Oxygen at the interface after bonding. HR–TEM also confirmed the bonding between Cu atoms. The aforementioned analyses verified the possibility of realizing D2W hybrid bonding with the currently designed structure. The proposed D2W technology is expected to replaces the conventional solder bonding technology at the advanced package.

10 citations


Journal ArticleDOI
TL;DR: In this paper , a systematic approach to maximize the interfacial bonding strength between polydimethylsiloxane (PDMS) and polymethylmethacrylate (PMMA) is presented.
Abstract: Polydimethylsiloxane (PDMS) and polymethylmethacrylate (PMMA) are widely used in microfluidics, due to their excellent biocompatibility, high optical transparency, and ease of fabrication. This paper outlines a systematic approach to maximize the interfacial bonding strength between PDMS to PMMA. Fabrication parameters were studied by measuring bonding strength (i.e., burst test pressure) based on the Taguchi method. Under optimal bonding conditions, the microchannel assembly endured air pressure exceeding 770 kPa, liquid pressure exceeding 622 kPa, and tensile test exceeding 3000 kPa. Bonding strength was sufficient to resist the entry of liquid at a rate of 6800 times greater than the microchannel volume per minute. The ability to withstand such extremely high pressure without damage to the microdevices is an indication that interfacial bonding was indeed permanent. The proposed manufacturing method was also used to fabricate microfluidic devices capable of withstanding extremely high liquid pressure of 402 kPa, high flow rates exceeding 120 mL min−1, and dense microchannels with gap of only 30 µm. Finally, this proposed bonding process was used to fabricate a functional valve system of high-density configuration, which can be potentially used in microfluidics-based assays requiring high accuracy, rapid response, and the facile management of liquid transportation.

9 citations


Journal ArticleDOI
TL;DR: In this paper , the authors present a thorough survey of the roll bonding process with a focus on the bimetallic bars/tubes as well as the bonding models and criteria.

7 citations


Proceedings ArticleDOI
01 May 2022
TL;DR: In this article , the bonding strength of two SiCN films and TEOS films with different compositions in accordance with the type of plasma was studied and it was confirmed that nano gap was formed through linkage analysis of electrical test, emission, and cross-sectional analysis.
Abstract: Wafer bonding of various dielectric films has been studied. Bonding strength is important for strong dielectric bonding. This bonding strength is directly related to not only electrical connections but also reliability issues during commercialization. The bonding strength of two SiCN films and TEOS films with different compositions in accordance with the type of plasma was studied. Bonding strength is closely related to the number of dangling bonds formed during plasma treatment on the film. Accordingly, controlling the elemental composition of the film to make more dangling bonds directly affects the quality of bonding.In accordance with the bonding strength of dielectric, wafer bonding with actual Cu pattern was carried out and electrical connectivity was confirmed. As a result, when O2 plasma was used for a film with a high ratio of carbon elements among SiCN films, wafer bonding showed the highest yield. It was confirmed that nano gap was formed through linkage analysis of Electrical test, Emission, and cross-sectional analysis. In addition, we were able to secure a higher yield through an experiment to change the shape of the Cu pad in the optimized SiCN. We intentionally created a protruded and dishing Cu pad and performed Wafer bonding in various pad-shaped combinations. When evaluating bonding quality, the best results was showed when all of the upper and lower wafers have 3 to 5 nm dishing.

6 citations


Journal ArticleDOI
TL;DR: In this article , a review of micro-fabricated alkali vapor cells is presented, including anodic bonding, sacrificial micro-channel bonding, and metal thermocompression bonding.

5 citations


Proceedings ArticleDOI
01 May 2022
TL;DR: In this paper , a wafer to wafer hybrid bonding was proposed by using the solderless nc-Cu and nc -Cu/SiO2 hybrid structure with a pronouncedly reduced bonding temperature of 150°C for 1 hour.
Abstract: Three-dimensional heterogeneous integration is at the core of development for advanced package technology, evolving several applications including mobile, HPCs, and AI. In this paper, we have proposed wafer to wafer hybrid bonding by using the solderless nc-Cu and nc-Cu/SiO2 hybrid structure with a pronouncedly reduced bonding temperature of 150°C for 1 hour. The bonding strength was near to 12 J/m2 between jointed two nc-Cu blanket wafers with an extremely strong bonding interface. For the first time, we have successfully bonded at a low temperature of 150 ° C, with distinctive materials and composite structures.

5 citations


Proceedings ArticleDOI
01 May 2022
TL;DR: In this article , the cause of the occurrence of bonding void is identified and several management factors are proposed in terms of design, process and operation, where the interface between the bottom wafer and top chip is in contact without a bonding medium.
Abstract: There has been lots of requirement to increase the I/O bandwidth and thermal characteristic in flip chip base package. Next-generation 3D SiP products need a gapless hybrid Cu bonding (HCB) process to overcome extremely small bonding pitch and distribute the heats from bottom die. Unlike conventional solder base chip bonding, there are various void sources in gapless HCB process, such as small particles and surface topology, where the interface between the bottom wafer and top chip is in contact without a bonding medium. In addition, the bonding voids have critical risk such as Si popping in the subsequent heat treatment process. Therefore, the control of bonding void in the development of the HCB process is very important for mass production. In this paper, the cause of the occurrence of bonding void is identified and several management factors are proposed in terms of design, process and operation.

5 citations



Journal ArticleDOI
TL;DR: In this paper , the thermocompression bonding of Pt-Pt metal electrodes was successfully realized through process exploration, and the package interconnection that meets the requirements was formed.
Abstract: Platinum is an ideal material for high-temperature resistant device packaging due to its higher melting point and good electrical properties. In this paper, the thermocompression bonding of Pt–Pt metal electrodes was successfully realized through process exploration, and the package interconnection that meets the requirements was formed. A square bump with a side length of 160 µm and a sealing ring with a width of 80 µm were fabricated by magnetron sputtering. Different pressure parameters were selected for chip-level bonding; the bonding temperature was 350 °C for about 20 min. Analysis of the interface under a scanning electron microscope found that the metal Cr diffused into Pt. It was found that two chips sputtered with 300 nm metal Pt can achieve shear resistance up to 30 MPa by flip-chip bonding at 350 °C and 100 MPa temperature and pressure, respectively. The leakage rate of the sample is less than 2 × 10–3 Pa·cm3/s, the bonding interface is relatively smooth, and the hot-pressed metal bonding of Pt electrodes with good quality is realized. By comparing the failure rates at different temperatures and pressures, the process parameters for Pt–Pt bonding with higher success rates were obtained. We hope to provide new ideas and methods for the packaging of high-temperature resistant devices.

Journal ArticleDOI
TL;DR: In this paper , the microstructural and mechanical analyses of a sandwiched structure of Cu/Sn-3.5 wt% Ag/Cu under thermal compression at 260 °C for 20 min.

Journal ArticleDOI
01 Feb 2022-Vacuum
TL;DR: In this paper , a consumable Ti interlayer strategy was developed to facilitate strong interfacial bonding between Nb and immiscible Cu by diffusion bonding at 850 °C, which achieved excellent bonding strength comparable to Cu substrate properties.

Journal ArticleDOI
TL;DR: In this paper , the effect of the argon ion beam current for surface activation treatment on the Si-Si bonding quality was investigated, and the results can be used to optimize the SAB process and promote the applications of SAB in the field of semiconductor devices.
Abstract: In order to optimize the process parameters of Si-Si wafer direct bonding at room temperature, Si-Si surface activated bonding (SAB) was performed, and the effect of the argon ion beam current for surface activation treatment on the Si-Si bonding quality was investigated. For the surface activation under the argon ion beam irradiation for 300 s, a smaller ion beam current (10~30 mA) helped to realize a lower percentage of area covered by voids and higher bonding strength. Especially with the surface activation under 30 mA, the bonded Si-Si specimen obtained the highest bonding quality, and its percentage of area covered by voids and bonding strength reached <0.2% and >7.62 MPa, respectively. The transmission electron microscopy analyses indicate that there exists an ultrathin amorphous Si interlayer at the Si-Si bonding interface induced by argon ion beam irradiation to Si wafer surfaces, and its thickness increases as the argon ion beam current rises. The investigation results can be used to optimize the SAB process and promote the applications of SAB in the field of semiconductor devices.

Proceedings ArticleDOI
06 Mar 2022
TL;DR: In this paper , a plasma-activated Cu-Cu direct bonding for die-die and die-wafer bonding is demonstrated at room temperature in ambient conditions, where the Cu surfaces are characterized for surface roughness, water contact angle and surface chemical states.
Abstract: High-throughput Ar/N 2 plasma-activated Cu-Cu direct bonding for die-die and die-wafer bonding is demonstrated at room temperature in ambient conditions. Before bonding, the Cu surfaces are characterized for surface roughness, water contact angle and surface chemical states. After bonding, the bonded samples are assessed for bonding micro-interface, shear strength, and specific contact resistance. The results show that a high-quality bond is achieved. This technology is suitable for high-throughput 3D integration and advanced packaging.

Journal ArticleDOI
TL;DR: In this paper , a novel bonding technique consisting of anodic and adhesive bonding methods was proposed for joining glass to metal, where the Pyrex glass substrate was metallized with a thin aluminum foil using anodic bonding and then it was bonded to a thick aluminum substrate by adhesive bonding using an epoxy adhesive.

Journal ArticleDOI
TL;DR: In this paper , particles were intentionally deposited on the wafer prior to bonding to study the kinetics of the physical void formation process, and void formations induced by particles deposited on different dielectrics bonding materials were analyzed using scanning acoustic microscopy and image software.
Abstract: Achieving a void-free bonding interface is an important requirement for the wafer-to-wafer direct bonding process. The two main potential mechanisms for void formation at the interface are (i) void formation induced by gas, such as condensation by-products caused by the bonding process or outgassing of trapped precursors, and (ii) void formation induced by physical obstacles, such as particles. In this work, emphasis is on the latter process. Particles were intentionally deposited on the wafer prior to bonding to study the kinetics of the physical void formation process. Void formations induced by particles deposited on different dielectrics bonding materials were analyzed using scanning acoustic microscopy and image software. The void formation mechanism is then discussed along with the wafer bonding dynamics at room temperature.

Journal ArticleDOI
TL;DR: In this article , the authors proposed to use ultra-thin films of iCVD polysiloxane as adhesives for silicon wafer bonding, and the resulting stack was compatible with various manufacturing processes: grinding, dielectric deposition and annealing.

Journal ArticleDOI
TL;DR: In this paper , a surface-activated Al-to-Al wafer bonding process for patterned 200mm wafers is presented, which removes the oxide in an argon plasma and enables a high bond quality with an accurate alignment.
Abstract: Aluminum-to-aluminum wafer bonding is a promising technique for future wafer-level packaging and heterogeneous integration. The main challenge for a successful Al-to-Al thermocompression bonding is the fast oxidation of the aluminum surface. In this article, a surface-activated Al-to-Al wafer bonding process for patterned 200-mm wafers is presented, which removes the oxide in an argon plasma and enables a high bond quality with an accurate alignment. The influence of the bonding parameters’ temperature (200 °C–300 °C), force (20–40 kN), and activation time (2.5–5 min) on the contact resistances and bonding yield is analyzed. Additionally, we modified an etch mask during wafer fabrication and thereby improved the condition of the Al pad surface, which resulted in a higher bonding quality. Based on this optimized wafer fabrication process, we achieved a high bonding yield of >85% and contact resistances in the $\text{m}\Omega $ range for bonding temperatures as low as 250 °C. This demonstrates the potential of Al-to-Al wafer bonding to create reliable interconnects for 3-D wafer-level integration.

Journal ArticleDOI
TL;DR: Amorphous Si films with a low surface roughness of 0.13 nm were used to examine the bonding performance of atomic diffusion bonding of quartz glass wafers at room temperature in vacuum as discussed by the authors .
Abstract: Amorphous Si films with a low surface roughness of 0.13 nm were used to examine the bonding performance of atomic diffusion bonding of quartz glass wafers at room temperature in vacuum. The high bonding strength was achieved for films with thickness δ of 2–50 nm: the blade could not be inserted between the bonded wafers. Using a vacuum chamber with a base pressure of 1 × 10–6 Pa, the great bonding strength was maintained even with waiting time in vacuum of as long as 3.6 × 103 s from film deposition to bonding. The excellent bonding performance was almost equal to that achieved using Ti films.

Journal ArticleDOI
TL;DR: In this paper , the In-Sn/In-Sn bonding interfaces obtained by the transient liquid phase (TLP) reaction were investigated for applications in low-temperature assembly.
Abstract: Sn/In–Sn bonding interfaces obtained by the transient liquid phase (TLP) reaction were investigated for applications in low-temperature assembly. Bonding was achieved at temperatures below 150 °C with a low bonding pressure. Although a few voids were formed at the interfaces after bonding, the In–Sn metallization enabled low-temperature bonding due to the low eutectic temperature. A bonding mechanism to achieve this novel In–Sn low-temperature assembly was also proposed.

Proceedings ArticleDOI
01 May 2022
TL;DR: In this paper , the authors investigated the relationship between misalignment and bonding strength before and after annealing in the Cu-Cu hybrid bonding process and found that the bonding strength tended to decrease linearly as the misalignments increased.
Abstract: In this study, we investigated the relationship between misalignment and bonding strength before and after annealing in the Cu-Cu hybrid bonding process. Before the annealing process, the bonding strength tended to decrease linearly as the misalignment increased. However, we found that this correlation changed after the annealing, seemingly due to thermal expansion of Cu. To reveal this phenomenon, the thermal stress simulation was conducted. The simulated results showed thermal expansion of Cu affects the bonding strength of Cu.

Proceedings ArticleDOI
12 Jun 2022
TL;DR: In this paper , a wafer-level Cu-Cu direct bonding with wetting/passivation scheme has been successfully demonstrated at (1) room temperature with post-annealing at 100 ℃, or (2) 40℃ bonding without the postannealing process.
Abstract: Ultra-low temperature wafer-level Cu-Cu direct bonding with wetting/passivation scheme has been successfully demonstrated at (1) room temperature with post-annealing at 100 ℃, or (2) 40 ℃ bonding without the post-annealing process. In this bonding structure, a wetting layer and a passivation layer were deposited on the Cu surface to improve the surface conditions and enhance the diffusion behavior of Cu atoms. In addition, the wetting layer can prevent formation of AuCu3 between passivation and Cu, which is beneficial for Cu bonding at a lower temperature. The proposed bonding structure provides the breakthrough to realize wafer-level Cu-Cu direct bonding with an almost thermal stress-free process, which is key to improve reliability and broaden applications of 3D integration and advanced packaging.

Journal ArticleDOI
TL;DR: In this article , a microwave-assisted solvent bonding method that uses organic solvent to seal the thermoplastic substrates with microwave assistance is demonstrated. But, the method is not suitable for high temperature applications.
Abstract: This paper demonstrated a microwave-assisted solvent bonding method that uses organic solvent to seal the thermoplastic substrates with microwave assistance. This direct bonding is a simple and straightforward process that starts with solvent application followed by microwave irradiation without the need for expensive facilities or complex procedures. The organic solvent applied at the bonding interface is used in dissolving and dielectric heating of the thermoplastic surfaces to seal the thermoplastic substrates under microwave assistance. We evaluated acetone and ethanol to seal the polymethyl methacrylate (PMMA) microfluidic device. The bonding performance, such as bonding coverage, geometry stability, and bonding strength (tensile) were observed and compared with the oven-heating and non-heating control experiments under the same force applications. Results showed that the microwave-assisted solvent bonding method presents a high bonding yield (maximum > 99%) and bonding strength (maximum ~2.77 MPa) without microchannel distortion, which can be used for various microfluidic applications.

Proceedings ArticleDOI
01 May 2022
TL;DR: In this paper , the authors proposed a convenient Ag-Ag direct bonding method by using a two-step bonding process that allows bonding at a pressureless, low-temperature, and atmospheric condition.
Abstract: 3D packaging technology is a critical method in the realization of three-dimensional integrated circuits (3D ICs). In this work, we proposed a convenient Ag-Ag direct bonding method by using a two-step bonding process that allows bonding at a pressureless, low-temperature, and atmospheric condition. At first, a transient ultrasonic bonding was conducted for the prebonding to ensure a close-up of the Ag surfaces, and then a pressureless annealing process was applied to coalesce the bonding interface. An intact bonding was realized by using the two-step bonding process, which is due to a synergistic action of stress migration and Ag-O reaction. During the migration of the stress, the Ag surface became rough and generated hillocks, leading to the coalescence of the bonding interface even at a low-temperature annealing condition. At the same time, the oxygen act as an accelerator that greatly improved the self-diffusion coefficient of Ag. The proposed method can be applied to chip to wafer or chip to interposer bonding, which can significantly mitigate the requirements for the bonding instruments and conditions.

Proceedings ArticleDOI
09 Jan 2022
TL;DR: In this article , a bulk-micromachined resonant differential pressure (DP) microsensor was presented with a low temperature (T) and static pressure (SP) sensitivity.
Abstract: This paper presents a bulk-micromachined resonant differential pressure (DP) microsensor featured with a low temperature (T) and static pressure (SP) sensitivity. The developed microsensor was fabricated based on bulk-micromachining (e.g., deep reactive-ion etching and anodic bonding) and low-stress packaged based on metal bonding. Benefit from low-stress packaging and the application of metal bonding in packaging, the temperature sensitivity and static pressure sensitivity of the microsensor was decreased dramatically compared to the state of art. Furthermore, the frequency stability was improved by 39 times compared with non-stress-isolated microsensor based on epoxy bonding.

Journal ArticleDOI
TL;DR: In this paper , a wafer bonding technique for heterogeneous integration using electroplated Al bonding frame is demonstrated, where the bonding mechanism relies on the mechanical deformation of the aluminum bonding frame through localized bonding pressure by the groove structures on the counter wafer, i.e., press marking.
Abstract: Heterogeneous integration of micro-electro mechanical systems (MEMS) and complementary metal oxide semiconductor (CMOS) integrated circuits (ICs) by 3D stacking or wafer bonding is an emerging approach to advance the functionality of microdevices. Aluminum (Al) has been of interest as one of the wafer bonding materials due to its low cost and compatibility with CMOS processes. However, Al wafer bonding typically requires a high temperature of 450 °C or more due to the stable native oxide which presents on the Al surface. In this study, a wafer bonding technique for heterogeneous integration using electroplated Al bonding frame is demonstrated. The bonding mechanism relies on the mechanical deformation of the electroplated Al bonding frame through a localized bonding pressure by the groove structures on the counter wafer, i.e., press marking. The native oxide on the surface was removed and a fresh Al surface at the bonding interface was released through such a large mechanical deformation. The wafer bonding was demonstrated at the bonding temperatures of 250–450 °C. The influence of the bonding temperature to the quality of the bonded substrates was investigated. The bonding shear strength of 8–100 MPa was obtained, which is comparable with the other Al bonding techniques requiring high bonding temperature.

Journal ArticleDOI
TL;DR: In this article , the authors demonstrate a low-temperature Cu-Cu direct bonding with a perfectly indistinguishable bonding interface achieved using a randomly oriented nanocrystalline Cu interlayer at 250 °C.

Journal ArticleDOI
TL;DR: In this paper , the authors investigated the potential mechanism for Cu expansion through finite element simulations and found that primary creep is not the dominant mechanism for expansion in wafer-to-wafer hybrid bonding.

Journal ArticleDOI
TL;DR: In this paper , the cooperative bonding method combining wet treatment and plasma activation shows outstanding technological superiority without the high cost and additional necessity of copper passivation in manufacture, which can effectively enhance the integration density in future 3D packaging for artificial intelligence, the internet of things and other high-density chips.
Abstract: Purpose Bumpless Cu/SiO2 hybrid bonding, which this paper aims to, is a key technology of three-dimensional (3D) high-density integration to promote the integrated circuits industry’s continuous development, which achieves the stacks of chips vertically connected via through-silicon via. Surface-activated bonding (SAB) and thermal-compression bonding (TCB) are used, but both have some shortcomings. The SAB method is overdemanding in the bonding environment, and the TCB method requires a high temperature to remove copper oxide from surfaces, which increases the thermal budget and grossly damages the fine-pitch device. Design/methodology/approach In this review, methods to prevent and remove copper oxidation in the whole bonding process for a lower bonding temperature, such as wet treatment, plasma surface activation, nanotwinned copper and the metal passivation layer, are investigated. Findings The cooperative bonding method combining wet treatment and plasma activation shows outstanding technological superiority without the high cost and additional necessity of copper passivation in manufacture. Cu/SiO2 hybrid bonding has great potential to effectively enhance the integration density in future 3D packaging for artificial intelligence, the internet of things and other high-density chips. Originality/value To achieve heterogeneous bonding at a lower temperature, the SAB method, chemical treatment and the plasma-assisted bonding method (based on TCB) are used, and surface-enhanced measurements such as nanotwinned copper and the metal passivation layer are also applied to prevent surface copper oxide.