scispace - formally typeset
Search or ask a question

Showing papers on "Atomic layer deposition published in 2013"


Journal ArticleDOI
TL;DR: Puurunen et al. as discussed by the authors summarized the two-reactant ALD processes to grow inorganic materials developed to-date, updating the information of an earlier review on ALD.
Abstract: Atomic layer deposition (ALD) is gaining attention as a thin film deposition method, uniquely suitable for depositing uniform and conformal films on complex three-dimensional topographies. The deposition of a film of a given material by ALD relies on the successive, separated, and self-terminating gas–solid reactions of typically two gaseous reactants. Hundreds of ALD chemistries have been found for depositing a variety of materials during the past decades, mostly for inorganic materials but lately also for organic and inorganic–organic hybrid compounds. One factor that often dictates the properties of ALD films in actual applications is the crystallinity of the grown film: Is the material amorphous or, if it is crystalline, which phase(s) is (are) present. In this thematic review, we first describe the basics of ALD, summarize the two-reactant ALD processes to grow inorganic materials developed to-date, updating the information of an earlier review on ALD [R. L. Puurunen, J. Appl. Phys. 97, 121301 (2005)], and give an overview of the status of processing ternary compounds by ALD. We then proceed to analyze the published experimental data for information on the crystallinity and phase of inorganic materials deposited by ALD from different reactants at different temperatures. The data are collected for films in their as-deposited state and tabulated for easy reference. Case studies are presented to illustrate the effect of different process parameters on crystallinity for representative materials: aluminium oxide, zirconium oxide, zinc oxide, titanium nitride, zinc zulfide, and ruthenium. Finally, we discuss the general trends in the development of film crystallinity as function of ALD process parameters. The authors hope that this review will help newcomers to ALD to familiarize themselves with the complex world of crystalline ALD films and, at the same time, serve for the expert as a handbook-type reference source on ALD processes and film crystallinity.

1,160 citations


Journal ArticleDOI
TL;DR: A new synthetic strategy capable of metallating MOFs from the gas phase: atomic layer deposition (ALD) is introduced.
Abstract: Metal–organic frameworks (MOFs) have received attention for a myriad of potential applications including catalysis, gas storage, and gas separation. Coordinatively unsaturated metal ions often enable key functional behavior of these materials. Most commonly, MOFs have been metalated from the condensed phase (i.e., from solution). Here we introduce a new synthetic strategy capable of metallating MOFs from the gas phase: atomic layer deposition (ALD). Key to enabling metalation by ALD In MOFs (AIM) was the synthesis of NU-1000, a new, thermally stable, Zr-based MOF with spatially oriented −OH groups and large 1D mesopores and apertures.

737 citations


Journal ArticleDOI
TL;DR: In this paper, the atomic layer deposition (ALD) technique was used to synthesize single Pt atoms anchored to graphene nanosheet using the ALD technique, and the single-atom catalysts exhibit significantly improved catalytic activity (up to 10 times) over that of the state-of-the-art commercial Pt/C catalyst.
Abstract: Platinum-nanoparticle-based catalysts are widely used in many important chemical processes and automobile industries. Downsizing catalyst nanoparticles to single atoms is highly desirable to maximize their use efficiency, however, very challenging. Here we report a practical synthesis for isolated single Pt atoms anchored to graphene nanosheet using the atomic layer deposition (ALD) technique. ALD offers the capability of precise control of catalyst size span from single atom, subnanometer cluster to nanoparticle. The single-atom catalysts exhibit significantly improved catalytic activity (up to 10 times) over that of the state-of-the-art commercial Pt/C catalyst. X-ray absorption fine structure (XAFS) analyses reveal that the low-coordination and partially unoccupied densities of states of 5d orbital of Pt atoms are responsible for the excellent performance. This work is anticipated to form the basis for the exploration of a next generation of highly efficient single-atom catalysts for various applications.

701 citations


Journal ArticleDOI
TL;DR: In this article, single-crystal gallium oxide (Ga2O3) metal-oxide-semiconductor field effect transistors were fabricated on a semi-insulating β-Ga 2O3 (010) substrate.
Abstract: Single-crystal gallium oxide (Ga2O3) metal-oxide-semiconductor field-effect transistors were fabricated on a semi-insulating β-Ga2O3 (010) substrate. A Sn-doped n-Ga2O3 channel layer was grown by molecular-beam epitaxy. Si-ion implantation doping was performed to source and drain electrode regions for obtaining low-resistance ohmic contacts. An Al2O3 gate dielectric film formed by atomic layer deposition passivated the device surface and significantly reduced gate leakage. The device with a gate length of 2 μm showed effective gate modulation of the drain current with an extremely low off-state drain leakage of less than a few pA/mm, leading to a high drain current on/off ratio of over ten orders of magnitude. A three-terminal off-state breakdown voltage of 370 V was achieved. Stable transistor operation was sustained at temperatures up to 250 °C.

544 citations


Journal ArticleDOI
TL;DR: In this article, Al2O3 and TiO2 coatings were applied to Li-and manganese-rich cathode powder Li1.2Ni0.13Mn0.54Co0.
Abstract: Nanolayers of Al2O3 and TiO2 coatings were applied to lithium- and manganese-rich cathode powder Li1.2Ni0.13Mn0.54Co0.13O2 using an atomic layer deposition (ALD) method. The ALD coatings exhibited different surface morphologies; the Al2O3 surface film appeared to be uniform and conformal, while the TiO2 layers appeared as particulates across the material surface. In a Li-cell, the Al2O3 surface film was stable during repeated charge and discharge, and this improved the cell cycling stability, despite a high surface impedance. The TiO2 layer was found to be more reactive with Li and formed a LixTiO2 interface, which led to a slight increase in cell capacity. However, the repetitive insertion/extraction process for the Li+ ions caused erosion of the surface protective TiO2 film, which led to degradation in cell performance, particularly at high temperature. For cells comprised of the coated Li1.2Ni0.13Mn0.54Co0.13O2 and an anode of meso-carbon-micro-beads (MCMB), the cycling stability introduced by ALD was not enough to overcome the electrochemical instability of MCMB graphite. Therefore, protection of the cathode materials by ALD Al2O3 or TiO2 can address some of the capacity fading issues related to the Li-rich cathode at room temperature.

407 citations


Journal ArticleDOI
27 Nov 2013-ACS Nano
TL;DR: This work describes a process for the synthesis of WS2 nanosheets through the sulfurization of an atomic layer deposition (ALD) WO3 film with systematic layer controllability and wafer-level uniformity, and develops aprocess for the fabrication ofWS2 nanotubes by utilizing the high conformality of the ALD process.
Abstract: The synthesis of atomically thin transition-metal disulfides (MS2) with layer controllability and large-area uniformity is an essential requirement for their application in electronic and optical devices. In this work, we describe a process for the synthesis of WS2 nanosheets through the sulfurization of an atomic layer deposition (ALD) WO3 film with systematic layer controllability and wafer-level uniformity. The X-ray photoemission spectroscopy, Raman, and photoluminescence measurements exhibit that the ALD-based WS2 nanosheets have good stoichiometry, clear Raman shift, and bandgap dependence as a function of the number of layers. The electron mobility of the monolayer WS2 measured using a field-effect transistor (FET) with a high-k dielectric gate insulator is shown to be better than that of CVD-grown WS2, and the subthreshold swing is comparable to that of an exfoliated MoS2 FET device. Moreover, by utilizing the high conformality of the ALD process, we have developed a process for the fabrication of...

321 citations


Journal ArticleDOI
TL;DR: Using methanol decomposition and oxidative dehydrogenation of ethane as probe reactions, it is demonstrated that selectively blocking low coordination metal sites by oxide overcoats can provide another strategy to enhance both the durability and selectivity of metal catalysts.
Abstract: Supported metal nanoparticles are among the most important cata-lysts for many practical reactions, including petroleum refining, automobile exhaust treatment, and Fischer–Tropsch synthesis. The catalytic performance strongly depends on the size, composition, and structure of the metal nanoparticles, as well as the underlying support. Scientists have used conventional synthesis methods including impregnation, ion exchange, and deposition–precipitation to control and tune these factors, to establish structure–performance relationships, and to develop better catalysts. Meanwhile, chemists have improved the stability of metal nanoparticles against sintering by the application of protective layers, such as polymers and oxides that encapsulate the metal particle. This often leads to decreased catalytic activity due to a lack of precise control over the thickness of the protective layer.A promising method of catalyst synthesis is atomic layer deposition (ALD). ALD is a variation on chemical vapor deposition in ...

260 citations


Journal ArticleDOI
TL;DR: The quantitative exploration of the lower limits of Pt cocatalyst loading reported here, and its application to high-surface-area NW photoelectrodes, establish a general approach for minimizing the cost of precious-metal cocatalysts for efficient and affordable solar-to-fuel applications.
Abstract: The photocathodic hydrogen evolution reaction (HER) from p-type Si nanowire (NW) arrays was evaluated using platinum deposited by atomic layer deposition (ALD) as a HER cocatalyst. ALD of Pt on the NW surface led to a highly conformal coating of nanoparticles with sizes ranging from 0.5 to 3 nm, allowing for precise control of the Pt loading in deep submonolayer quantities. The catalytic performance was measured using as little as 1 cycle of Pt ALD, which corresponded to a surface mass loading of ∼10 ng/cm2. The quantitative exploration of the lower limits of Pt cocatalyst loading reported here, and its application to high-surface-area NW photoelectrodes, establish a general approach for minimizing the cost of precious-metal cocatalysts for efficient and affordable solar-to-fuel applications.

254 citations


Journal ArticleDOI
TL;DR: Puurunen et al. as discussed by the authors summarized the two-reactant ALD processes to grow inorganic materials developed to-date, updating the information of an earlier review on ALD.
Abstract: Atomic layer deposition (ALD) is gaining attention as a thin film deposition method, uniquely suitable for depositing uniform and conformal films on complex three-dimensional topographies. The deposition of a film of a given material by ALD relies on the successive, separated, and self-terminating gas–solid reactions of typically two gaseous reactants. Hundreds of ALD chemistries have been found for depositing a variety of materials during the past decades, mostly for inorganic materials but lately also for organic and inorganic–organic hybrid compounds. One factor that often dictates the properties of ALD films in actual applications is the crystallinity of the grown film: Is the material amorphous or, if it is crystalline, which phase(s) is (are) present. In this thematic review, we first describe the basics of ALD, summarize the two-reactant ALD processes to grow inorganic materials developed to-date, updating the information of an earlier review on ALD [R. L. Puurunen, J. Appl. Phys. 97, 121301 (2005)], and give an overview of the status of processing ternary compounds by ALD. We then proceed to analyze the published experimental data for information on the crystallinity and phase of inorganic materials deposited by ALD from different reactants at different temperatures. The data are collected for films in their as-deposited state and tabulated for easy reference. Case studies are presented to illustrate the effect of different process parameters on crystallinity for representative materials: aluminium oxide, zirconium oxide, zinc oxide, titanium nitride, zinc zulfide, and ruthenium. Finally, we discuss the general trends in the development of film crystallinity as function of ALD process parameters. The authors hope that this review will help newcomers to ALD to familiarize themselves with the complex world of crystalline ALD films and, at the same time, serve for the expert as a handbook-type reference source on ALD processes and film crystallinity.

245 citations


Journal ArticleDOI
28 Feb 2013-ACS Nano
TL;DR: The optimal coating-1 ALD cycle, which amounts to <1 monolayer of Co(OH)2/Co3O4-resulted in significantly enhanced photoelectrochemical water oxidation performance and establishes it as a particularly advantageous treatment for nanostructured water oxidation photoanodes.
Abstract: Hematite photoanodes were coated with an ultrathin cobalt oxide layer by atomic layer deposition (ALD). The optimal coating—1 ALD cycle, which amounts to <1 monolayer of Co(OH)2/Co3O4—resulted in significantly enhanced photoelectrochemical water oxidation performance. A stable, 100–200 mV cathodic shift in the photocurrent onset potential was observed that is correlated to an order of magnitude reduction in the resistance to charge transfer at the Fe2O3/H2O interface. Furthermore, the optical transparency of the ultrathin Co(OH)2/Co3O4 coating establishes it as a particularly advantageous treatment for nanostructured water oxidation photoanodes. The photocurrent of catalyst-coated nanostructured inverse opal scaffold hematite photoanodes reached 0.81 and 2.1 mA/cm2 at 1.23 and 1.53 V, respectively.

239 citations


Journal ArticleDOI
TL;DR: PbSe quantum dot (QD) field effect transistors (FETs) with air-stable electron mobilities above 7 cm(2) V (-1) s(-1) are made by infilling sulfide-capped QD films with amorphous alumina using low-temperature atomic layer deposition (ALD).
Abstract: PbSe quantum dot (QD) field effect transistors (FETs) with air-stable electron mobilities above 7 cm2 V–1 s–1 are made by infilling sulfide-capped QD films with amorphous alumina using low-temperature atomic layer deposition (ALD). This high mobility is achieved by combining strong electronic coupling (from the ultrasmall sulfide ligands) with passivation of surface states by the ALD coating. A series of control experiments rule out alternative explanations. Partial infilling tunes the electrical characteristics of the FETs.

Journal ArticleDOI
17 Oct 2013-ACS Nano
TL;DR: It is found that ALD on MoS2 bulk material is not uniform and surface functionalization will be required before controllable and low defect density high-κ/MoS2 interfaces will be realized.
Abstract: We report our investigation of the atomic layer deposition (ALD) of HfO2 on the MoS2 surface. In contrast to previous reports of conformal growth on MoS2 flakes, we find that ALD on MoS2 bulk material is not uniform. No covalent bonding between the HfO2 and MoS2 is detected. We highlight that individual precursors do not permanently adsorb on the clean MoS2 surface but that organic and solvent residues can dramatically change ALD nucleation behavior. We then posit that prior reports of conformal ALD deposition on MoS2 flakes that had been exposed to such organics and solvents likely rely on contamination-mediated nucleation. These results highlight that surface functionalization will be required before controllable and low defect density high-κ/MoS2 interfaces will be realized. The band structure of the HfO2/MoS2 system is experimentally derived with valence and conduction band offsets found to be 2.67 and 2.09 eV, respectively.

Journal ArticleDOI
TL;DR: Aerosol-assisted CVD is a solution-based process which relies on the solubility of the precursor, rather than its volatility and thus vastly extends the range of potentially applicable precursors, and offers extra means to control film morphology and concurrently the properties of the deposited materials.
Abstract: The production of thin films of materials has become the attention of a great deal of research throughout academia and industry worldwide owing to the array of applications which utilise them, including electronic devices, gas sensors, solar cells, window coatings and catalytic systems. Whilst a number of deposition techniques are in common use, chemical vapour deposition (CVD) is an attractive process for the production of a wide range of materials due to the control it offers over film composition, coverage and uniformity, even on large scales. Conventional CVD processes can be limited, however, by the need for suitably volatile precursors. Aerosol-assisted (AA)CVD is a solution-based process which relies on the solubility of the precursor, rather than its volatility and thus vastly extends the range of potentially applicable precursors. In addition, AACVD offers extra means to control film morphology and concurrently the properties of the deposited materials. In this perspective we discuss the AACVD process, the influence of deposition conditions on film characteristics and a number of materials and applications to which AACVD has been found beneficial.

Journal ArticleDOI
TL;DR: In this paper, the photoelectrochemical performances of Ti-doped and undoped hematite electrodes were examined and compared under water oxidation conditions, and the incorporation of Ti atoms into hematitic electrodes was found to dramatically enhance the water oxidation performance with much greater enhancement found for the thinnest films.
Abstract: Uniform thin films of hematite and Ti-doped hematite (α-Fe2O3) were deposited on transparent conductive substrates using atomic layer deposition (ALD). ALD's epitaxial growth mechanism allowed the control of the morphology and thickness of the hematite films as well as the concentration and distribution of Ti atoms. The photoelectrochemical performances of Ti-doped and undoped hematite electrodes were examined and compared under water oxidation conditions. The incorporation of Ti atoms into hematite electrodes was found to dramatically enhance the water oxidation performance, with much greater enhancement found for the thinnest films. An optimum concentration ∼3 atomic% of Ti atoms was also determined. A series of electrochemical, photoelectrochemical and impedance spectroscopy measurements were employed to elucidate the cause of the improved photoactivity of the Ti-doped hematite thin films. This performance enhancement was a combination of improved bulk properties (hole collection length) and surface properties (water oxidation efficiency). The improvement in both bulk and surface properties is attributed to the resurrection of a dead layer by the Ti dopant atoms.

Journal ArticleDOI
TL;DR: In this article, the performance of Al2O3 atomic layer deposition (ALD) coatings for LiCoO2/natural graphite (LCO/NG) batteries is investigated, where various permutations of the electrodes are coated in a full battery.
Abstract: The performance of Al2O3 atomic layer deposition (ALD) coatings for LiCoO2/natural graphite (LCO/NG) batteries is investigated, where various permutations of the electrodes are coated in a full battery. Coating both electrodes with ∼1 nm of alumina as well as coating only the LCO (positive electrode) enables improved performance when cycling at high voltage, where the LCO is known to degrade. However, we found that coating only the NG (negative electrode) also improves the performance of the whole battery when cycling at high voltage. Under these conditions, the uncoated LCO (positive electrode) should degrade quickly, and the NG should be unaffected. A variety of characterization techniques show the surface reactions that occur on the negative electrode and positive electrode are related, resulting in the enhanced performance of the uncoated LCO.

Patent
14 Mar 2013
TL;DR: In this article, a method and precursors for depositing silicon nitride films by atomic layer deposition (ALD) are provided. In some embodiments, the silicon pre-requisites comprise an iodine ligand.
Abstract: Methods and precursors for depositing silicon nitride films by atomic layer deposition (ALD) are provided. In some embodiments the silicon precursors comprise an iodine ligand. The silicon nitride films may have a relatively uniform etch rate for both vertical and the horizontal portions when deposited onto three-dimensional structures such as FinFETS or other types of multiple gate FETs. In some embodiments, various silicon nitride films of the present disclosure have an etch rate of less than half the thermal oxide removal rate with diluted HF (0.5%).

Journal ArticleDOI
TL;DR: In this paper, an ultrathin layer of Al2O3 is conformally coated onto highly porous carbon cloth by ALD, and then assembled in a Li-S battery between the sulfur cathode and the anode side (separator and Li anode) to function as a reactivation component.

Patent
30 Dec 2013
TL;DR: In this article, the authors focus on plasma enhanced atomic layer deposition (PEALD) processes using pulsed plasmas and demonstrate that this increased sidewall quality corresponds to a film that is overall more uniform in quality compared to that achieved with conventional continuous wave plasma techniques.
Abstract: The embodiments herein focus on plasma enhanced atomic layer deposition (PEALD) processes using pulsed plasmas. While conventional PEALD processes use continuous wave plasmas during the plasma exposure/conversion operation, the embodiments herein utilize a pulsed plasma during this operation to achieve a film with high quality sidewalls. Because conventional PEALD techniques result in films having high quality at the bottom and top of a feature, but low quality on the sidewalls, this increased sidewall quality in the disclosed methods corresponds to a film that is overall more uniform in quality compared to that achieved with conventional continuous wave plasma techniques.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate RT-ALD (25°C) processes for Al2O3, TiO2, and SiO2 from trimethylaluminum (Al(CH3)3, TMA), titanium(IV) tetraisopropoxide (Ti(OiPr)4, TTIP), and bis(diethylamino)silane (SiH2(NEt2)2, BDEAS) precursors with an O2 plasma or O3 gas as co-reactants.
Abstract: Room-temperature atomic layer deposition (RT-ALD) processes are of interest for applications using temperature-sensitive substrates. Challenges with RT-ALD arise when the precursors are not sufficiently volatile, purge times become impractically long, and precursors or co-reactants are unreactive with the surface species. In several cases, the latter two challenges can be overcome using energy-enhanced ALD. Here, we demonstrate RT-ALD (25°C) processes for Al2O3, TiO2, and SiO2 from trimethylaluminum (Al(CH3)3, TMA), titanium(IV) tetraisopropoxide (Ti(OiPr)4, TTIP), and bis(diethylamino)silane (SiH2(NEt2)2, BDEAS) precursors with an O2 plasma or O3 gas as co-reactants. Saturated RT-ALD growth was obtained for all O2 plasma processes and TMA/O3, whereas the TTIP/O3 and BDEAS/O3 processes gave no growth. Using these and literature results, the criteria for viable RT-ALD processes are discussed.

Journal ArticleDOI
TL;DR: In this article, a conformal growth of an ultrathin shell of titania through atomic layer deposition is used to stabilize zinc oxide nanowire photoanodes for water splitting in a strongly alkaline solution.
Abstract: Zinc oxide nanowire photoanodes are chemically stabilized by conformal growth of an ultrathin shell of titania through atomic layer deposition, permitting their stable operation for water splitting in a strongly alkaline solution. Because of the passivation of zinc oxide surface charge traps by titania coating, core/shell nanowire arrays supply a photocurrent density of 0.5 mA/cm2 under simulated AM1.5G sunlight at the thermodynamic oxygen evolving potential, demonstrating 25% higher photoelectrochemical water splitting activity compared to as-grown zinc oxide wires. By thermally annealing the zinc oxide wire arrays prior to surface passivation, we further increase the photocurrent density to 0.7 mA/cm2—the highest reported value for doped or undoped zinc oxide photoanodes studied under similar simulated sunlight. Photoexcitations at energies above the zinc oxide band gap are converted with efficiency greater than 80%. Photoluminescence measurements of the best-performing nanowire arrays are consistent wi...

Journal ArticleDOI
TL;DR: In this paper, atomic layer deposition (ALD) was used to deposit nanostructured palladium on porous carbon as the cathode material for Li-O2 cells, where discrete crystalline nanoparticles decorated the surface of the porous carbon support, where the size could be controlled in the range of 2-8 nm and depended on the number of Pd ALD cycles performed.
Abstract: In this study, atomic layer deposition (ALD) was used to deposit nanostructured palladium on porous carbon as the cathode material for Li–O2 cells. Scanning transmission electron microscopy showed discrete crystalline nanoparticles decorating the surface of the porous carbon support, where the size could be controlled in the range of 2–8 nm and depended on the number of Pd ALD cycles performed. X-ray absorption spectroscopy at the Pd K-edge revealed that the carbon supported Pd existed in a mixed phase of metallic palladium and palladium oxide. The conformality of ALD allowed us to uniformly disperse the Pd catalyst onto the carbon support while preserving the initial porous structure. As a result, the charging and discharging performance of the oxygen cathode in a Li–O2 cell was improved. Our results suggest that ALD is a promising technique for tailoring the surface composition and structure of nanoporous supports in energy storage devices.

Journal ArticleDOI
TL;DR: This review focuses on introducing the recent progress in creating micro/nanostructured arrays based on colloidal templates with physical routes, and the parameters of the microstructure or nanostructure can be tuned by colloidal template with different periodicity and experimental conditions of the physical processes.
Abstract: It has been proven that the use of colloidal templates is a facile, flexible strategy to create the periodic micro/nanostructured arrays in comparison with photolithography, electron beam lithography etc. Utilizing colloidal monolayers as templates or masks, different periodic micro/nanostructured arrays including nanoparticle arrays, pore arrays, nanoring arrays and nanorod/nanotube arrays can be fabricated by chemical and physical processes. Chemical routes, including direct solution/sol dipping strategy, wet chemical etching, electrodeposition, electrophoretic deposition etc. have advantages of simple operation and low costs. However, they have some disadvantages of impurities on surface of arrays due to incomplete decomposition of precursors, residue of surfactants in self-assembling or electrochemical deposition. More importantly, it is quite difficult to achieve very uniform morphology of micro/nanostructure arrays on a large-area by the above routes. Whereas another method, a physical route (for instance: reactive ion etching, pulsed laser deposition, thermal evaporation deposition, atomic layer deposition, sputtering deposition), combining with colloidal monolayer template can well resolve these problems. In this review, we focus on introducing the recent progress in creating micro/nanostructured arrays based on colloidal templates with physical routes. The parameters of the microstructure or nanostructure can be tuned by colloidal templates with different periodicity and experimental conditions of the physical processes. The applications of micro/nanostructured arrays with controllable morphology and arrangement parameters in self-cleaning surfaces, enhanced catalytic properties, field emitters etc. are also presented in the following sections.

Journal ArticleDOI
TL;DR: Infrared spectroscopic measurements and scanning tunneling microscopy studies of trimethylaluminum ALD on copper show that the remarkable stability imparted to the nanoparticles arises from selective armoring of under-coordinated copper atoms on the nanoparticle surface.
Abstract: Atomic layer deposition (ALD) of an alumina overcoat can stabilize a base metal catalyst (e.g., copper) for liquid-phase catalytic reactions (e.g., hydrogenation of biomass-derived furfural in alcoholic solvents or water), thereby eliminating the deactivation of conventional catalysts by sintering and leaching. This method of catalyst stabilization alleviates the need to employ precious metals (e.g., platinum) in liquid-phase catalytic processing. The alumina overcoat initially covers the catalyst surface completely. By using solid state NMR spectroscopy, X-ray diffraction, and electron microscopy, it was shown that high temperature treatment opens porosity in the overcoat by forming crystallites of γ-Al2O3. Infrared spectroscopic measurements and scanning tunneling microscopy studies of trimethylaluminum ALD on copper show that the remarkable stability imparted to the nanoparticles arises from selective armoring of under-coordinated copper atoms on the nanoparticle surface.

Journal ArticleDOI
TL;DR: In this article, the role of different polymer reactive groups in film growth and how the balance between precursor diffusion and reaction can change as deposition proceeds is discussed. But the authors focus on the common Al2O3 reaction sequence using trimethyl aluminum (TMA) and water.

Journal ArticleDOI
TL;DR: The thermal stability of the nanostructured emitters and their optical properties before and after annealing are tested, observing no degradation even after 144 h (6 days) at 900 °C, which demonstrates the suitability of these selective emitters for high-temperature applications.
Abstract: We present the results of extensive characterization of selective emitters at high temperatures, including thermal emission measurements and thermal stability testing at 1000°C for 1h and 900°C for up to 144h. The selective emitters were fabricated as 2D photonic crystals (PhCs) on polycrystalline tantalum (Ta), targeting large-area applications in solid-state heat-to-electricity conversion. We characterized spectral emission as a function of temperature, observing very good selectivity of the emission as compared to flat Ta, with the emission of the PhC approaching the blackbody limit below the target cut-off wavelength of 2 μm, and a steep cut-off to low emission at longer wavelengths. In addition, we study the use of a thin, conformal layer (20 nm) of HfO2 deposited by atomic layer deposition (ALD) as a surface protective coating, and confirm experimentally that it acts as a diffusion inhibitor and thermal barrier coating, and prevents the formation of Ta carbide on the surface. Furthermore, we tested the thermal stability of the nanostructured emitters and their optical properties before and after annealing, observing no degradation even after 144h (6 days) at 900°C, which demonstrates the suitability of these selective emitters for high-temperature applications.

Journal ArticleDOI
TL;DR: The results indicate that the surface modification of MoS2 by oxygen plasma treatment can have a major impact on the subsequent deposition of high-k thin films, with important implications on their integration in thin film transistors.
Abstract: We report on the effect of oxygen plasma treatment of two-dimensional multilayer MoS2 crystals on the subsequent growth of Al2O3 and HfO2 films, which were formed by atomic layer deposition (ALD) using trimethylaluminum and tetrakis-(ethylmethylamino)hafnium metal precursors, respectively, with water oxidant. Due to the formation of an ultrathin Mo-oxide layer on the MoS2 surface, the surface coverage of Al2O3 and HfO2 films was significantly improved compared to those on pristine MoS2, even at a high ALD temperature. These results indicate that the surface modification of MoS2 by oxygen plasma treatment can have a major impact on the subsequent deposition of high-k thin films, with important implications on their integration in thin film transistors.

Patent
26 Nov 2013
TL;DR: A method for forming a film on a patterned surface of a substrate by atomic layer deposition (ALD) processing is described in this article, where a first precursor containing silicon or metal in its molecule is adsorbed onto the patterned substrate; then, the second precursor is exposed to an excited reactant to oxidize, nitride, or carbonize the precursors adorbed on the substrate.
Abstract: A method for forming a film on a patterned surface of a substrate by atomic layer deposition (ALD) processing includes: adsorbing onto a patterned surface a first precursor containing silicon or metal in its molecule; adsorbing onto the first-precursor-adsorbed surface a second precursor containing no silicon or metal in its molecule; exposing the second-precursor-adsorbed surface to an excited reactant to oxidize, nitride, or carbonize the precursors adsorbed on the surface of the substrate; and repeating the above cycle to form a film on the patterned surface of the substrate.

Patent
19 Jul 2013
TL;DR: In this paper, Si-containing thin film forming precursors, methods of synthesizing the same, and methods of using the same to deposit silicon-containing films using vapor deposition processes for manufacturing semiconductors, photovoltaics, LCD-TFT, flat panel-type devices, refractory materials, or aeronautics.
Abstract: Disclosed are Si-containing thin film forming precursors, methods of synthesizing the same, and methods of using the same to deposit silicon-containing films using vapor deposition processes for manufacturing semiconductors, photovoltaics, LCD-TFT, flat panel-type devices, refractory materials, or aeronautics.

Journal ArticleDOI
TL;DR: In this paper, high-quality silicon oxide (SiO2) thin films are formed by plasma-enhanced atomic layer deposition (PEALD) using bis(diethylamino)silane as a Si precursor and ammonia/oxygen plasmas at a substrate temperature of 150 ◦ C.
Abstract: High-quality silicon oxide (SiO2) thin films are deposited by plasma-enhanced atomic layer deposition (PEALD) using bis(diethylamino)silane as a Si precursor and ammonia/oxygen plasmas at a substrate temperature of 150 ◦ C. The SiO2 films are formed at a growth rate of ∼0.137 nm/cycle in high purity. The overall quality of the PEALD-SiO2 films are assessed by infrared spectroscopy, wet etch rate in 0.5% hydrofluoric solution, Auger electron spectroscopy, and current-voltage analysis. The quality of the films formed at low temperature using the combination of ammonia/oxygen plasmas compares well with deposition at higher temperatures (350 ◦ C) using oxygen plasma only.

Journal ArticleDOI
TL;DR: Electron energy loss spectroscopy (EELS) combined with bulk and surface analytical techniques are employed to highlight the passivating effect of TiO2, which results in significantly fewer cycling-induced electrolyte decomposition products as compared to the bare nanowires.
Abstract: We demonstrate that silicon nanowire (SiNW) Li-ion battery anodes that are conformally coated with TiO2 using atomic layer deposition (ALD) show a remarkable performance improvement. The coulombic efficiency is increased to ∼99%, among the highest ever reported for SiNWs, as compared to 95% for the baseline uncoated samples. The capacity retention after 100 cycles for the nanocomposite is twice as high as that of the baseline at 0.1 C (60% vs. 30%), and more than three times higher at 5 C (34% vs. 10%). We also demonstrate that the microstructure of the coatings is critically important for achieving this effect. Titanium dioxide coatings with an as-deposited anatase structure are nowhere near as effective as amorphous ones, the latter proving much more resistant to delamination from the SiNW core. We use TEM to demonstrate that upon lithiation the amorphous coating develops a highly dispersed nanostructure comprised of crystalline LiTiO2 and a secondary amorphous phase. Electron energy loss spectroscopy (EELS) combined with bulk and surface analytical techniques are employed to highlight the passivating effect of TiO2, which results in significantly fewer cycling-induced electrolyte decomposition products as compared to the bare nanowires.