scispace - formally typeset
Search or ask a question

Showing papers on "Blisters published in 2006"


Journal ArticleDOI
TL;DR: In this article, a range of laser fluence was found in which a blister or domelike feature was produced where the oxide film was delaminated from the substrate, and the blister features were analyzed with optical and atomic force microscopy.
Abstract: Silicon (100) substrates with thermal oxide films of varying thickness were irradiated with single and multiple 150 fs laser pulses at normal and non-normal incidences. A range of laser fluence was found in which a blister or domelike feature was produced where the oxide film was delaminated from the substrate. At normal and non-normal incidences blister features were observed for samples with 54, 147, and 1200 nm of thermal oxide. The blister features were analyzed with optical and atomic force microscopy. In addition, the time frame for blister growth was obtained using pump-probe imaging techniques.

38 citations


Journal ArticleDOI
TL;DR: A bandage containing a new technology demonstrated the lowest surface coefficient of friction of any bandage tested, and clinical tests performed with the same bandage demonstrated significant reduction of the coefficient ofriction on the skin.

35 citations


Journal ArticleDOI
TL;DR: In this paper, a systematic investigation of surface blister formation on GaN epitaxial layers implanted with 100 keV H2+ ions with a dose of 1.3×1017 cm-2 and annealed at various temperatures in the range of 350-700 °C was carried out.
Abstract: A systematic investigation of surface blister formation on GaN epitaxial layers implanted with 100 keV H2+ ions with a dose of 1.3×1017 cm–2 and annealed at various temperatures in the range of 350–700 °C was carried out. Two different activation energies were found for the formation of surface blisters: 1.79 eV in the lower temperature regime of 350–400 °C and 0.48 eV in the higher temperature regime of 400–700 °C. The depth and width of the blisters were determined using a stylus profilometer. The hydrogen implantation-induced damage was assessed using cross-sectional transmission microscopy revealing a band of defects extending from 230–500 nm from the surface of GaN. (© 2006 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim)

27 citations


Journal ArticleDOI
TL;DR: A critical step height above which the buckling may occur has been determined, the new buckling criterion has been compared with the classical one in the plane case and allows the blisters localization on step structures to be explained.
Abstract: We report experimental atomic force microscopy observations and analytical modeling of buckling structures of thin films deposited on single crystal substrates. The formation of straight-sided blisters just above the step structures resulting from the dislocations emergence has been observed and explained in the framework of the F\"oppl--von Karman theory of thin plates. A critical step height above which the buckling may occur has been determined and the asymmetry of the resulting blisters has been explained. Finally, the new buckling criterion has been compared with the classical one in the plane case and allows us to explain the blisters localization on step structures.

26 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated the physical mechanisms underlying blistering in hydrogen-implanted silicon by examining the correlation between implantation induced damage, strain distribution, and vacancy diffusion, and found that the depth of blisters coincided with that of maximum implantation damage.
Abstract: The authors investigated the physical mechanisms underlying blistering in hydrogen-implanted silicon by examining the correlation between implantation induced damage, strain distribution, and vacancy diffusion. Using Rutherford backscattering, scanning electron microscopy, and atomic force microscopy, they found that the depth of blisters coincided with that of maximum implantation damage. A model based on experimental results is presented showing the effect of tensile strain on the local diffusion of vacancies toward the depth of maximum damage, which promotes the nucleation and growth of platelets and ultimately blisters.

24 citations


Patent
08 Dec 2006
TL;DR: In this paper, a method of controlling blister formation in a glass melt flowing through a system comprising one ore more refractory metal vessels by developing a blister index and determining the critical blister index value was presented.
Abstract: A method of controlling blister formation in a glass melt flowing through a system comprising one ore more refractory metal vessels by developing a blister index and determining the critical blister index value. The critical value of the blister index may be used to control the principal variables responsible for blister formation, including the water content of the melt, the concentration of reduced multivalent oxide compounds in the melt, and the hydrogen partial pressure of an atmosphere in contact with the outside surface of the refractory metal vessel. Also disclosed is a minimum partial pressure of hydrogen necessary to produce an essentially blister-free glass article in a glass essentially free of arsenic and antimony.

22 citations


Patent
30 Nov 2006
TL;DR: In this article, a device including a base layer (20), a wipe layer (15) attached to the base layer to define an interior space between the wipe and base layers, a plurality of blisters (50) positioned within the interior space, each blister having a blister volume, wherein the sum of the blister volumes is a total blister volume.
Abstract: The present disclosure provides a device including a base layer (20); a wipe layer (15) attached to the base layer to define an interior space between the wipe and base layers; a plurality of blisters (50) positioned within the interior space, each blister having a blister volume, wherein the sum of the blister volumes is a total blister volume; and an indication disposed on the wipe layer or the base layer corresponding to a single blister or a group of blisters indicating the ratio of the blister volume of that single blister or the sum of the blister volumes of that group of blisters to the total blister volume.

21 citations


Journal ArticleDOI
TL;DR: In this article, the effects of helium ion implantation on the surface morphology of (1 − 0)-oriented yttria-stabilized zirconia (YSZ) single crystals have been studied.
Abstract: The effects of helium ion implantation on the surface morphology of (1 0 0)-oriented yttria-stabilized zirconia (YSZ) single crystals have been studied. Ion implantation at various fluences in the range 0.01–5.1 × 10 16 He-atoms/cm 2 has been carried out at room temperature using a 2.74 MeV He + ion beam passing through a 8.0 μm Al foil. The microstructure and surface morphology of the irradiated surface are examined using atomic force microscopy. Rutherford backscattering spectrometry combined with the channeling technique is applied for the investigation of lattice damage and for the thickness determination of the implanted layer. The ion-irradiated areas are found to protrude to different heights in nm scale. The fluence dependence of the magnitude of rms roughness exhibits a very sharp increase with the increase of the ion dose at higher fluences and in a narrow range between 2 × 10 16 and 5.1 × 10 16 cm −2 , which is preceded by a linear increase at lower fluences. Given a sufficient concentration for implanted He, above 2 × 10 16 cm −2 , surface blistering occurs directly during implantation. The size and distribution of blisters are dependent on the total helium content. For the specimen with a fluence 4.0 × 10 16 cm −2 , an annealing has been conducted at 300 °C. After the annealing, some of the blisters are found to become larger in size, up to about 10 μm in diameter and 1 μm in height without rupture. Within the present experimental conditions, no signature of any surface exfoliation or flaking in YSZ is observed. A tentative explanation of the results is presented.

17 citations


Journal ArticleDOI
TL;DR: In this article, four-inch InP wafers were implanted with 100 keV helium ions with a dose of 5×10 16 ǫ cm −2 and subsequently annealed in air in the temperature range of 225-400°C in order to determine the blistering kinetics of these Wafers.

14 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigated hydrogen-induced delamination of a bulk material with a finite thickness and calculated the radius of a blister depending on the amount of the implanted hydrogen, crack surface energy, and annealing temperature.
Abstract: In this paper, hydrogen-induced delamination of a bulk material with a finite thickness is investigated. Hydrogen implanted interface splitting is considered as the growth of the crack by forming blisters. The radius of a blister depends on the amount of the implanted hydrogen, crack surface energy, and annealing temperature. For a finite thickness of the superstrate, the evolution of the blisters is calculated adopting a smooth bell-shape function and applying the Rayleigh-Ritz method [K. K. Raju and E. V. Rao, J. Eng. Mech. 119, 626 (1993)]. The required minimum implanted gas Nmin is calculated accordingly. The calculated Nmin value is compared with an experimental result in literature.

11 citations


Journal ArticleDOI
TL;DR: There is a correlation between the time taken to produce blisters and the activity of pemphigoid and it is suggested that the technique can be of value in following the course of this disease and as a quantitative method for studying epidermodermal adherence in vivo.
Abstract: SUMMARY Separation of the epidermis from dermis to produce blisters by means of suction has been studied in relation to bullous pemphigoid and certain other skin disorders. There is a correlation between the time taken to produce blisters and the activity of pemphigoid and it is suggested that the technique can be of value in following the course of this disease and as a quantitative method for studying epidermodermal adherence in vivo.

Journal ArticleDOI
TL;DR: In this article, the incident energy, fluence of helium ion and temperature for blister formation in rutile TiO2(1.0.0) films were investigated.
Abstract: Incident energy, fluence of helium ion and temperature for blister formation in rutile TiO2(1 0 0) films were investigated. Epitaxial rutile TiO2(1 0 0) films were grown on α-Al2O3(0 0 0 1) substrates by pulsed laser deposition. The films were irradiated at room temperature and 95 K with 4 keV helium ions up to fluence range from 1.0 × 1016 to 2.3 × 1017 ions/cm2. The surface morphology of TiO2 films was observed by scanning electron microscope and atomic force microscope. Rutherford backscattering spectroscopy with channeling was used to determine the depth profile of radiation-induced damage. In the case of room temperature irradiation with fluences higher than 2 × 1016 ions/cm2, helium blisters with 100–200 nm sizes in TiO2 films were observed. Furthermore, helium irradiation at 95 K resulted in smaller size blisters (∼50 nm). It is suggested that the size of blisters in TiO2(1 0 0) films is strongly affected by the temperature during the helium irradiation. The photo-induced superhydrophilicity of TiO2 films was improved by high fluence helium irradiation, which caused blister growth.

Patent
07 Jul 2006
TL;DR: In this paper, a pocket roll centered on and rotatable about a respective axis has an outer surface formed with an array of open pockets separated by directed lands, and slip inserts set in at least some of the lands, extend axially of the pocket-roll axis and form in the respective lands axially extending outer-surface regions having coefficients of friction smaller than the coefficient of friction of pocket roll outer surface.
Abstract: A pocket roll centered on and rotatable about a respective axis has an outer surface formed with an array of open pockets separated by directed lands. The pocket-roll outer surface has a predetermined coefficient of friction. A blister foil is fed to the pocket roll with blisters of the blister foil fitting in the pockets. A seal roll rotatable about a respective axis adjacent the pocket roll has an outer surface pressing a cover foil against the blister foil so that the foils are pinched between the rolls. Slip inserts set in at least some of the lands, extend axially of the pocket-roll axis and form in the respective lands axially extending outer-surface regions having coefficients of friction smaller than the coefficient of friction of the pocket-roll outer surface. A position-correcting unit has a sensor and detects and adjusts the positions of the blisters relative to the direction.

Journal ArticleDOI
TL;DR: In this article, the patterning of silicon surfaces using ion blistering in conjunction with e-beam lithography was investigated, and the resulting surface morphologies were investigated by atomic force microscopy.
Abstract: We have investigated the patterning of silicon surfaces using ion blistering in conjunction with e-beam lithography. Variable width (150–5000 nm) trenches were first written in 500 nm thick PMMA resist spin coated on silicon, using an electron beam. Next, 10 keV H2+ ions were implanted to various fluences through the masks. The resist was then removed and the samples were rapidly thermally annealed at 900 °C. The resulting surface morphologies were investigated by atomic force microscopy. In the wider trenches, round blisters with 600–900 nm diameter are observed, which are similar to those observed on unmasked surfaces. In submicron trenches, there is a transition in morphology, caused by the proximity to the border. The blisters are smaller and they are densely aligned along the trench direction ('string of pearls' pattern). Unusual blister geometries are observed in the narrowest trenches (150 nm) at higher H doses (≥1 × 1017 H cm−2)—such as tubular blisters aligned along the trench. It was also found that for H doses of ≥6 × 1016 H cm−2 the surface swells uniformly, which has implications for the blistering mechanism. The prospects for accomplishing ion cutting, layer transfer and bonding of finely delineated patterns of silicon onto another material are discussed in the light of the above results.

Patent
29 Nov 2006
TL;DR: In this paper, an improved version of the original blister package is presented, with one or two thermoplastic blisters designed and positioned on a backing card such that when the card is folded along a transverse score line, the blisters will lock together and the backing card will be formed into an L shape configuration.
Abstract: An improved blister package construction is provided. The inventive blister package construction allows for different visual presentations of the packaged products that would otherwise not be achievable with conventional blister packages. The blister package of the invention has one or two thermoplastic blisters designed and positioned on a backing card such that, when the card is folded along a transverse score line, the one or two blisters will lock together and the backing card will be formed into an “L” shaped configuration.

Journal ArticleDOI
TL;DR: In this paper, a tensile test was performed on unirradiated and irradiated 304SS specimens at a strain rate of 3.33 × 10 −3 ǫ s −1.

Journal ArticleDOI
TL;DR: In this article, a Si(100) substrate was irradiated with H+ (incident energy: 10 keV, fluence: 1 × 1022 m-2) at an angle of 30° to the surface normal.
Abstract: By utilizing surface stress to modify surface reaction potential and increase surface reactivity, a technique for the two-dimensional patterning of surface chemical reactions may be realized by surface stress modulation. Blister is local protrusion on a solid surface induced by gas ion irradiation, and is considered to create local stress on surface layers. Si(100) substrate was irradiated with H+ (incident energy: 10 keV, fluence: 1 ×1022 m-2) at an angle of 30° to the surface normal. Blisters of 1–5 µm in diameter at the base were formed. After the ion irradiation, the substrate was oxidized. Scanning Auger electron microscopy revealed that, compared with the flat surface, the perimeters of the blisters had a higher oxygen intensity whereas the blister tops had a lower oxygen intensity. The stress distribution of the blister was calculated using the finite element method. It was found that the surface layers were stretched laterally at the blisters tops and compressed at the perimeters, relative to the flat surface. There was a clear correspondence between the O distribution and the stress distribution on the surface. Our results indicate that the patterned oxidation of the Si surface can be governed by the application of surface stress.

Patent
25 Aug 2006
TL;DR: In this paper, an apparatus for dispensing liquids, creams or gels in dosed amounts from blisters is described, and an assembly in which the apparatus is located on an array of blisters.
Abstract: The invention herein described relates to fluid filled blister packs ( 1 ) and provides an alternative to dosing bottles and packs. An apparatus is disclosed for dispensing liquids, creams or gels in dosed amounts from blisters ( 1 ), and an assembly ( 4 ) in which the apparatus is located on an array of blisters. The apparatus has particular application for the dispensing of alcohol gels used in the healthcare industry.

Patent
28 Dec 2006
TL;DR: In this paper, an apparatus for feeding a blister band is described, with a number of interconnected walls defining a bottom closed by the blister band set in motion, to define a chamber.
Abstract: An apparatus for feeding a blister band moved in a forward direction, with a number of interconnected walls defining a bottom closed by the blister band set in motion, to define a chamber. At least two units are arranged in series and separated by a plate, and operate in the chamber. An article supply leads to each unit of the chamber for feeding articles onto the blister band in motion, while a brush works near the plate and near a fore wall in counter-rotation with respect to the forward direction of the blister band to reject possible articles not entered into the blisters of the band or placed in the blisters in double.

Journal Article
TL;DR: In this article, an array of blisters makes Zirconium alloy components of nuclear Reactors suscepti c to hydrogen migration under thermal stress gradient in ZIRconium alloys results in formation of hydride blisters.
Abstract: Hydrogen migration under thermal stress gradient in zirconium alloys results in formation of hydride blisters [1]. An array of blisters makes Zirconium alloy components of nuclear reactors suscepti ...

Journal ArticleDOI
TL;DR: In this paper, the Griffith cracking criterion and gas law were used to calculate the size of blisters in diamond single crystals implanted by 350-keV hydrogen ions with a dose of 12 × 1016 cm−2.
Abstract: Local blistering observed upon high-temperature annealing of natural diamond single crystals implanted by 350-keV hydrogen ions with a dose of 12 × 1016 cm−2 is studied. Based on room-temperature measurements, Griffith cracking criterion, and gas law, model quantitative calculations of blister size (R j = (0.361−5.568) × 10−3 m, V j = (307−9695) × 10−18 m3) and the amount of molecules in a blister (n j = (0.448−10.95) × 1013) are carried out for the first time. At room temperature, T 1 = 293 K, the amount of local elastic stresses σij in the upper layer of the diamond is counterbalanced by (inner) hydrogen pressure P ij of the (σ j1 = P j1 = (2.968−6.439) × 107 Pa). At annealing temperature T 2 = 1693 K, the hydrogen pressure rises to P j2 = (0.1717−0.8750) GPa. Under subsequent annealing at a still higher temperature, T 3 = 1743 K, the pressure in the blisters might be expected to grow to P j3 = (0.1747−0.9010) GPa; however, some of blisters collapse and thin diamond slices flake away.

Journal ArticleDOI
TL;DR: In this article, the role of common chemical impurities in uranium with initiation sites for the formation of destructive hydride blisters was investigated using scanning force microscopy surface potential imaging.
Abstract: Uranium is an extremely important material for commercial and military applications (i.e. nuclear power, nuclear weapons, conventional weapons, and armor systems) and, like a number of other materials, is vulnerable to corrosion by environmental gases that affect their properties, leading to component degradation, shortened lifetimes and materials failure. For uranium this is particularly true in the case of corrosion by hydrogen. A fundamental understanding of the corrosion process at the nucleation stage is of critical importance. The goal of this work is to study the role of common chemical impurities in uranium with initiation sites for the formation of destructive hydride blisters. Samples were implanted with various ions, annealed under vacuum at 200°C, than exposed to one atm of ultra-pure hydrogen. Scanning force microscopy surface potential imaging was used to characterize the structure and corresponding electrical properties of polycrystalline uranium surfaces that resulted from the implantation of different suspect atoms after exposure to hydrogen gas. Surface potential images revealed features related to different oxide structures and hydride spots/blisters as well as other features not obvious in the corresponding topograph. In the surface potential images, blisters appear as bright (higher potential) features in sharp contrast to the uranium oxide background. Often a possible inclusion was observed in the center of a blister. Blister formation did not appear to correlate with implantation of any specific specie, however, distinct differences were seen between implanted and non implanted sides of the same sample.

Journal ArticleDOI
TL;DR: In this article, the authors found that scratch blisters are caused by hydrogen that is introduced in large quantities at scratch marks and that several independent phenomena may contribute this hydrogen, such as an electrochemical reaction between iron and its oxide scale during pickling increases the hydrogen absorption at the scratch sufficiently to lead regularly to scratch blister when steel with a certain type of oxide coating is used.
Abstract: The enamel defect known as “scratch blisters” is consistently found to be caused by hydrogen that is introduced in large quantities at scratch marks. Several independent phenomena may contribute this hydrogen. An electrochemical reaction between iron and its oxide scale during pickling increases the hydrogen absorption at the scratch sufficiently to lead regularly to scratch blisters when steel with a certain type of oxide coating is used. Galvanic nickel coatings aggravate scratch blistering in a manner that is not well understood. Surface impurities and mechanical effects may produce scratch blisters but only circumstantially. The rolling direction of the sheet is found to be related to the occurrence of scratch blisters.

Patent
20 Jan 2006
TL;DR: A silicone-containing preparation for reducing friction against the skin, which contains at least one high-mol. wt. polydimethylsiloxane (A) and a low-mol wt.
Abstract: A silicone-containing preparation for reducing friction against the skin, contains at least one high-mol. wt. polydimethylsiloxane (A) and a low-mol. wt. polydimethylsiloxane (B).

Patent
20 Feb 2006
TL;DR: In this paper, a package is claimed in which security for pharmaceutical blister packs is provided by mounting the packs in a sheet (1) of cardboard or plastic material which is pierced to accept the individual blisters (9) and which is then folded and retained in the folded position in such a way that the foil sides of the blister packs are protected between the two portions of the mount.
Abstract: A package is claimed in which security for pharmaceutical blister packs is provided by mounting the packs in a sheet (1) of cardboard or plastic material which is pierced (3) to accept the individual blisters (9) and which is then folded and retained in the folded position in such a way that the foil sides of the blister packs are protected between the two portions of the mount. The method of retaining the folded sheet in the closed position may be designed to confer child resistance. Information bearing leaflets or RFID labels may be mounted as required. The foils may or may not be further protected by an additional sheet of cardboard or a label which is bonded across the foil faces and is provided with perforated tear out panels to give access to individuals blisters.

Proceedings ArticleDOI
01 Oct 2006
TL;DR: In this article, the surface blistering of 6 times 1016 cm-2 dose implanted GaAs wafers was investigated as a function of temperature and time during the rapid thermal annealing, as well as the implant energy.
Abstract: The surface blistering of the 6 times 1016 cm-2 dose hydrogen ion implanted GaAs wafers were investigated as a function of temperature and time during the rapid thermal annealing, as well as the implant energy. For the 50keV implanted samples, the blisters (microcavities) start to form and crack at a temperature larger than 300degC. The blister density increases rapidly with increasing the annealing temperature at first, and then saturates at temperature larger than 500degC. The size of craters, which are the cracked microcavities, seems to be independent of the annealing temperature. With increasing the implant energy, the critical temperature to trigger blistering increases, meanwhile, the blister density decreases and the average crater size increases. The results of this study may be useful to optimize the Smart-Cut process for the GaAs/Si fabrication

Journal ArticleDOI
TL;DR: Fig. 3 Vacuolization of the basal cell layer: Civatte bodies in lower epidermis and papillary dermis and band-like lymphocyte infiltrates along dermoepidermal junction.