scispace - formally typeset
Search or ask a question

Showing papers on "Chemical vapor deposition published in 1985"


Journal ArticleDOI
TL;DR: In this article, X-ray diffraction indicates the films are single-phase, orthorhombic, β-FeSi2, and single-crystal silicon wafers and with low pressure chemical vapor deposition (LPCVD) polycrystalline silicon thin films.
Abstract: Iron disilicide thin films were prepared by furnace reaction of ion beam sputtered iron layers with single‐crystal silicon wafers and with low‐pressure chemical vapor deposition (LPCVD) polycrystalline silicon thin films. X‐ray diffraction indicates the films are single‐phase, orthorhombic, β‐FeSi2. Impurity levels are below the detection limit of Auger spectroscopy. Normal incidence spectral transmittance and reflectance data indicate a minimum, direct energy gap of 0.87 eV. The apparent thermal activation energy of the resistivity in the intrinsic regime is about half of this minimum optical gap. With such a direct band gap, the material may be suitable for the development of both light‐sensitive and light‐emitting thin‐film devices within the silicon microelectronics technology.

447 citations


Journal ArticleDOI
TL;DR: In this article, the formation of TiSi2 thin films on silicon substrates has been investigated with several transmission electron microscope techniques, and it was shown that a metastable phase (C49 or ZrSi2 structure) forms prior to the equilibrium phase (TiSi2 (C54 structure).
Abstract: The formation of TiSi2 thin films on silicon substrates has been investigated with several transmission electron microscope techniques. For films formed either by reacting titanium with a silicon substrate or by sintering a codeposited (Ti+Si) mixture, electron diffraction patterns show that a metastable phase—TiSi2 (C49 or ZrSi2 structure)—forms prior to the equilibrium phase—TiSi2 (C54 structure). High‐resolution images indicate that the metastable TiSi2‐silicon interface is atomically sharp, with no ‘‘glassy membrane’’ layer present. The annealing temperature required to transform the metastable TiSi2 to the low resistivity, equilibrium TiSi2 increases as the thin‐film impurity content increases. Previous studies of TiSi2 formation are discussed in light of these results.

375 citations


Journal ArticleDOI
TL;DR: In this paper, the metalorganic chemical vapor deposition (MOCVD) of epitaxial III-V semiconductor alloys on III-v substrates is reviewed in detail.
Abstract: The metalorganic chemical vapor deposition (MOCVD) of epitaxial III‐V semiconductor alloys on III‐V substrates is reviewed in detail. The emphasis is placed on both practical and theoretical knowledge of the equipment and deposition process. The chemistry of the source alkyls and the dynamics of the transport process are discussed. The growth of the GaAs and AlxGa1−xAs systems are treated as prototypical examples (and the most studied) of the III‐V materials. Latter sections review InP, Ga1−xInxAs, and related alloys. Finally, the antimonide and the other systems are reviewed. Electronic and optical devices fabricated from MOCVD‐grown materials are used as examples of the capabilities of the growth technique.

257 citations


Journal ArticleDOI
TL;DR: The preparation of Ru and RuO/sub 2/ thin films by organometallic chemical vapor deposition and an investigation of the films' properties are reported in this article, where the best Ru film, produced from Ru/sub 3/(CO)/sub 12/ at 300/sup 0/C in vacuum, had a resistivity of 16.9..mu cap omega..-cm and exhibited excellent adhesion to Si and SiO/Sub 2/ substrates.
Abstract: The preparation of Ru and RuO/sub 2/ thin films by organometallic chemical vapor deposition and an investigation of the films' properties are reported. Ru is of interest for metallization in integrated circuit fabrication because its thermodynamically stable oxide, RuO/sub 2/, also exhibits metallic conductivity. As a result, oxidation during processing of Ru is a less critical concern than in current metallization technology. Taking advantage of the benefits of chemical vapor deposition, such as conformal coverage and low temperature, damage-free deposition, we have deposited Ru, RuO/sub 2/, and Ru/RuO/sub 2/ by pyrolysis of three organoruthenium complexes. Films of a given phase composition were deposited under a wide variety of conditions and exhibited large variations in electrical resistivity and carbon content. The best Ru film, produced from Ru/sub 3/(CO)/sub 12/ at 300/sup 0/C in vacuum, had a resistivity of 16.9 ..mu cap omega..-cm and exhibited excellent adhesion to Si and SiO/sub 2/ substrates. The best RuO/sub 2/ film, produced from Ru(C/sub 5/H/sub 5/)/sub 2/ at 575/sup 0/C in O/sub 2/, had a resistivity of 89.9 ..mu cap omega..-cm and similarly exhibited excellent adhesion. Rutherford backscattering studies show tha Ru and RuO/sub 2/ films are effective diffusion barriers between Al and Si upmore » to annealing temperatures of about 550/sup 0/ and 600/sup 0/C (1/2 h exposure), respectively. Thus, they are significantly better than the currently used W films, which are only effective to about 500/sup 0/C.« less

256 citations


Journal ArticleDOI
TL;DR: In this paper, it is shown that the Si can be grown at 1600 K and 760 torr on (100) Si substrates using and and if the Si is initially reacted with the alone.
Abstract: Crack‐free monocrystalline films having very smooth final surfaces may be reproducibly grown at 1600 K and 760 torr on (100) Si substrates using and and if the Si is initially reacted with the alone. This initial step produces a buffer layer which reduces the mismatches in expansion coefficients and lattice parameters and thus allows the subsequent growth of the film to a thickness exceeding 5 μm. It is necessary to heat the Si wafers from room temperature to the reaction temperature in a and environment rather than preheating the substrates to the reaction temperature. An off‐axis orientation of the Si in excess of approximately 3° results in a very rough final growth surface on the film.

240 citations


Journal ArticleDOI
TL;DR: In this paper, the influence of the electron bombardment on the initial island density on the substrate surface and on the decomposition of the reactant gases (CH4 and H2) is discussed relating to the growth process of the films.
Abstract: Diamond thin films have been formed by the newly proposed electron assisted chemical vapor deposition on SiC with a high growth rate (3∼5 μm/h). The obtained films have good crystallinity in the sense of electron and x‐ray diffraction. Vicker’s hardness of the films is about 9000 kg/mm2. The influence of the electron bombardment on the initial island density on the substrate surface and on the decomposition of the reactant gases (CH4 and H2) is discussed relating to the growth process of the films.

237 citations


Journal ArticleDOI
TL;DR: In this article, a new technique, limited reaction processing (LRP), is introduced, in which radiant heating is used to provide rapid, precise changes in the temperature of a substrate to control surface reactions.
Abstract: We introduce a new technique, limited reaction processing, in which radiant heating is used to provide rapid, precise changes in the temperature of a substrate to control surface reactions. This process was used to fabricate thin layers of high quality epitaxial silicon. Abrupt transitions in doping concentration at the epitaxial layer/substrate interface were achieved for undoped films deposited on heavily doped substrates.

222 citations


Journal ArticleDOI
TL;DR: In this article, the growth and crystallinity of the silicon clusters were monitored by transmission electron microscopy and the minimum silicon crystal diameter was measured at 2.5 nm for both atmospheric and plasma-enhanced CVD films.
Abstract: Silicon‐rich SiO2 films of various compositions were deposited by atmospheric or plasma‐enhanced chemical vapor deposition (CVD) techniques. These films were annealed at various temperatures between 700 and 1100 °C. The growth and crystallinity of the silicon clusters were monitored by transmission electron microscopy. The growth of the silicon clusters was found to be diffusion controlled with an activation energy measured to a first approximation at 1.9 eV/atom for both atmospheric and plasma‐enhanced CVD films. A minimum annealing temperature of between 800 and 950 °C and a minimum amount of excess Si above SiO2 are required to form crystalline silicon particles in the films. The minimum silicon crystal diameter was measured at 2.5 nm.

212 citations


Patent
24 Jun 1985
TL;DR: In this paper, a chemical vapor deposition method for the production of a composite body with one or more polycrystalline diamond layers, essentially free of intercrystaline binder phase, on a substrate of one of: (a) metalbonded hard material compound; (b) ceramic material; or (c) a metal or an alloy, whereby the heated substrate is contacted with a gaseous mixture of hydrocarbon or hydrogen, which mixture has been activated by passing it over a tantalum heater heated to a temperature of 1500°-2500° C.
Abstract: A chemical vapor deposition method for the production of a composite body with one or more polycrystalline diamond layers, essentially free of intercrystalline binder phase, on a substrate of one of: (a) metalbonded hardmaterial compound; (b) ceramic material; or (c) a metal or an alloy, whereby the heated substrate is contacted with a gaseous mixture of hydrocarbon or hydrogen, which mixture has been activated by passing it over a tantalum heater heated to a temperature of 1500°-2500° C. Also disclosed is the use of the compound body in tools subjected to mechanical operations.

205 citations


Journal ArticleDOI
TL;DR: In this article, a computer simulation is employed to demonstrate that a vapordeposited thin film of low adatom mobility undergoes a sudden change from a porous columnar microstructure to a densely packed film if the substrate temperature is increased to a certain value.
Abstract: A computer simulation is employed to demonstrate, in a two‐dimensional growth model, that a vapor‐deposited thin film of low adatom mobility undergoes a sudden change from a porous columnar microstructure to a densely packed film if the substrate temperature is increased to a certain value. The temperature where this structural transition occurs is shown to be related to the lower boundary temperature of the empirical structure‐zone model. The dependence of the transition temperature and range on the vapor deposition rate is discussed.

195 citations



Patent
24 Oct 1985
TL;DR: In this paper, a plasma CVD reactor and associated process use magnetic field enhancement to provide high quality, very high deposition rate metal, dielectric and conformal semiconductor films.
Abstract: A plasma CVD reactor and associated process use magnetic field enhancement to provide high quality, very high deposition rate metal, dielectric and conformal semiconductor films. The reacter and process are designed for automated, high-throughout, in-line small dimension VLSI integrated circuit fabrication, and are applicable to multistep in-situ processing.

Patent
01 Apr 1985
TL;DR: In this paper, a method of fabricating a narrow band gap semiconductor which does not suffer from the effects of differential depletion of the components of the precursor gaseous mixture is presented.
Abstract: Precursor gaseous mixtures from which to glow discharge deposit wide and narrow band gap semiconductor alloy material, said material characterized by improved photoconductivity and stability and improved resistance to photodegradation. There is also specifically disclosed a method of fabricating a narrow band gap semiconductor which method does not suffer from the effects of differential depletion of the components of the precursor gaseous mixture.

Journal ArticleDOI
TL;DR: In this paper, the first continuous-wave operation at temperatures up to 23°C of an Al0.26In0.48P double heterostructure (DH) laser has been achieved for the first time.
Abstract: Continuous‐wave (cw) operation at temperatures up to 23 °C of an Al0.26Ga0.26In0.48P/Ga0.52In0.48P/ Al0.26Ga0.26In0.48P double heterostructure (DH) laser has been achieved for the first time. The threshold current was 160 mA at 20 °C for a device with a 10‐μm‐wide and 250‐μm‐long ion‐implanted stripe geometry. The emission wavelength was 671 nm during cw operation at 10 °C. To reduce thermal resistance to a heat sink, a dually stacked structure made of a thin (∼0.3 μm) p‐AlGaInP layer and a p‐Al0.76Ga0.24As layer was used as a cladding layer. The DH wafer was grown by atmospheric pressure metalorganic chemical vapor deposition.

Journal ArticleDOI
TL;DR: A system and a procedure using chemical vapor deposition of silane at very low pressures (<10−2 Torr) have been developed for depositing uniform, specular silicon epitaxial films both with and without plasma enhancement at temperatures as low as 650 °C as mentioned in this paper.
Abstract: A system and a procedure using chemical vapor deposition of silane at very low pressures (<10−2 Torr) have been developed for depositing uniform, specular silicon epitaxial films both with and without plasma enhancement at temperatures as low as 650 °C. In situ cleaning of the substrate surface that overlaps into the deposition is the most critical aspect of the procedure. Undoped films deposited on substrates heavily doped with antimony or boron have abrupt doping profiles. Preliminary measurements indicate that the hole mobility of epitaxial films obtained with this process is 90% of that in bulk silicon. Films oxidized and decorated with a Secco etch show twice as many defects as a similarly treated substrate. Nonplasma growth kinetics are sensitive to surface conditions such as crystallographic orientation, and surface diffusion of adsorbed species appears to be the rate‐limiting step for depositing epitaxial films above 700 °C. Around 650 °C, the growth mechanism appears to change, possibly due to th...

Journal ArticleDOI
TL;DR: Amorphous silicon (a•Si) films are deposited at about 320˚C by a new thermal chemical vapor deposition method as mentioned in this paper, where the gas mixture of intermediate species SiF2 and H2, decomposed thermally by the catalytic reaction, is used as a material gas.
Abstract: Amorphous silicon (a‐Si) films are deposited at about 320 °C by a new thermal chemical vapor deposition method. In this method, the gas mixture of intermediate species SiF2 and H2, decomposed thermally by the catalytic reaction, is used as a material gas. It is found that the photosensitivity of the a‐Si film for AM1 of 100 mW/cm2 exceeds over 106 and that the spin density is as low as 1.5×1016 cm−3 for the film deposited with a rate of several A/s.

Journal ArticleDOI
TL;DR: In this paper, the reaction of Si (100) with C2H4 from a molecular beam source has been studied using x-ray photoelectron spectroscopy, electron energy loss spectrograms, and Auger spectrographs.
Abstract: The reaction of Si (100) with C2H4 from a molecular beam source has been studied using x‐ray photoelectron spectroscopy, electron‐energy‐loss spectroscopy, and Auger spectroscopy. Using these methods, we have studied the kinetics of SiC formation under conditions where no gas‐phase excitation processes can contribute. At Si (100) temperatures below 940 K, a ‘‘Si‐C alloy’’ forms on the surface; annealing to higher temperatures produces SiC exhibiting electron spectroscopic properties identical to SiC (0001). By studies of the characteristic bulk‐ and surface‐plasmon‐loss features in the SiC thin film, it has been shown that surface aggregation of bulk Si on top of the growing SiC film occurs at T≥940 K. Under optimum SiC growth conditions, C2H4 yields about 2×10−3 SiC units per C2H4 surface collision on Si (100).

Journal ArticleDOI
TL;DR: In this paper, a modified method has been developed for the deposition of transparent semiconducting thin films of tin oxide, involving the chemical vapour phase oxidation of tin iodide, showing sheet resistances greater than 100 Ω/□ and an average optical transmission in the visible range exceeding 80%.

Journal ArticleDOI
TL;DR: In this article, the effects of surface preparation, substrate temperature, and film thickness were studied in detail for homoepitaxial films grown on the (111)A and (100) planes of CdTe.
Abstract: Photoluminescence (PL) studies of bulk and epitaxial CdTe samples obtained from several sources are discussed. Steady state PL measurements were carried out at temperatures ranging from 16–300 K. The effects of surface preparation, substrate temperature, and film thickness were studied in detail for homoepitaxial films grown on the (111)A and (100) planes of CdTe. PL studies of epitaxial CdTe films grown on (0001) sapphire by molecular beam epitaxy (MBE), by hot wall MBE, and by metal‐organic chemical vapor deposition (MOCVD), and on the (111)B and (100) planes of GaAs by MBE have also been completed. The CdTe epilayers on sapphire and GaAs substrates typically display a bright PL spectrum dominated by the near edge peak at 1.58 eV (77 K). In addition, a number of films exhibit a near edge peak at 1.503 eV at 300 K, which is indicative of high quality epitaxy and which allowed direct measurement of the room temperature band gap of CdTe. PL studies of epitaxial Cd1−x MnxTe films grown by MBE on 5.0 μm thic...

Journal ArticleDOI
TL;DR: In this paper, a trilayer dielectric was used as a gate insulator for (In,Ga)As insulated gate field effect transistors (IGFETs).
Abstract: We have deposited silicon nitride (Si3N4) and silicon oxide (SiO2) thin films using remote plasma enhanced chemical vapor deposition (RPECVD). We have characterized the chemical composition of the films by infrared absorption (IR), x‐ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES), and Rutherford backscattering (RBS), and have studied the electrical properties in metal insulator semiconductor (MIS) device configurations. We have configured the deposition system and adjusted gas flow rates in order to minimize: (a) O contamination in the Si3N4 films; and (b) OH groups in the SiO2 films. This paper describes the deposition apparatus and the process, and presents a phenomenological model for the plasma phase and surface reactions involved. We have combined both types of insulators in a trilayer dielectric that has been used as a gate insulator for (In,Ga)As insulated gate field effect transistors (IGFET’s). We have found that the electrical properties of these devices are superior to ...

Journal ArticleDOI
TL;DR: In this article, the first laser-induced deposition of copper has been demonstrated using a volatile copper coordination complex and the technique is characterized by reasonable rates and by the high quality of copper produced.
Abstract: The first laser‐induced deposition of copper has been demonstrated using a volatile copper coordination complex. The technique is characterized by reasonable rates and by the high quality of copper produced.

Journal ArticleDOI
TL;DR: In this paper, high quality gold spots and lines have been deposited from gaseous dimethyl (2, 4-pentanedionato) gold (III) using a focused argon ion laser.
Abstract: High quality gold spots and lines have been deposited from gaseous dimethyl (2, 4‐pentanedionato) gold (III) using a focused argon ion laser. Growth rates of 1 μm/s at power densities of 4×105 W/cm2 were obtained. Resistivity, threshold writing power densities, and deposition rates were measured and their relationship to the physical and chemical properties of the gaseous complex are explored.

Journal ArticleDOI
TL;DR: In this article, optical properties of superlattices with a unit lattice period of (AlAs)n(GaAsn)n (n=1−24) were investigated.
Abstract: Optical properties were investigated on the superlattices with a unit lattice period of (AlAs)n(GaAs)n (n=1–24) which were grown by atmospheric‐pressure metalorganic chemical vapor deposition. Raman spectroscopy indicated that superlattice structure is realized for each n without collapsing into alloys. Photoluminescence measurement indicated that the ultrathin‐layer superlattice (with n larger than 2) has a direct energy gap, which is in good agreement with a tight‐binding calculation.

Journal ArticleDOI
TL;DR: In this paper, the authors compared experimental results compiled from the literature to thermodynamic calculations of the most stable proportion of condensed phases to deposit from gas mixtures of Si-C-Cl-H and found that the predominant gas molecules participating in a deposition process are chlorides and chlorosilanes for silicon and methane and acetylene for carbon.
Abstract: Experimental results compiled from the literature were compared to thermodynamic calculations of the most stable proportion of condensed phases to deposit from gas mixtures of Si-C-Cl-H. The calculations indicated that the predominant gas molecules participating in a deposition process are chlorides and chlorosilanes for silicon and methane and acetylene for carbon. The mismatch of the calculated and experimentally determined phase boundaries at 1473 and 1600 K led to the conclusion that silicon deposition occurs faster than carbon deposition in proportion to their partial pressures. The probable reason is that silicon-bearing gas molecules have a greater sticking probability on polar Si and SiC surfaces because of their asymmetric geometries. 18 references, 8 figures, 3 tables.

Journal ArticleDOI
S. Vepřek1
TL;DR: The principles of chemical and physical vapour deposition (CVD and PVD) in a partially ionized gaseous environment are briefly reviewed with emphasis on plasma-induced CVD as discussed by the authors.

Journal ArticleDOI
TL;DR: In this article, the structure of the intermediate layers between GaAs and Si by metalorganic chemical vapor deposition was investigated and it was found that the insertion of AlP and AlGaP layers makes the crystallinity and the surface morphology better, and the PL (photoluminescence) intensity with two superlattice layers is about one order of magnitude stronger than that without these layers.
Abstract: GaAs grown on Si substrate with AlP, AlGaP, GaP/GaAs0.5P0.5 superlattice, and GaAs0.5P0.5/GaAs superlattice was investigated by varying the structure of the intermediate layers between GaAs and Si by metalorganic chemical vapor deposition. It was found that (1) the insertion of AlP and AlGaP layers makes the crystallinity and the surface morphology better, (2) PL (photoluminescence) intensity with two superlattice layers is about one order of magnitude stronger than that without these layers, (3) the crack formation in the GaAs surface layer can be avoided by the strained superlattice layers, (4) the PL intensity has a maximum at about 20 nm for each layer thickness in the superlattices, and (5) the PL intensity increases and the carrier concentration decreases while increasing the thickness of the surface GaAs and saturates over 3 μm. The PL intensity of GaAs on Si substrates is about 80% of that grown on GaAs substrates.

Journal ArticleDOI
TL;DR: In this article, a gas phase silanization of silicon surfaces at elevated temperatures is described, which consists of a washing and surface activation step followed by silanisation at about 0.5 −1 Nm −2 and 80 −190 °C depending on the type of silane.

Journal ArticleDOI
TL;DR: In this article, a ternary alloy has been fabricated in InSb and InAs(1-x)Sb(x) using metalorganic chemical vapor deposition.
Abstract: p-n junctions have been fabricated in InSb and InAs(1-x)Sb(x)(0.4 less than x less than 0.7) using metalorganic chemical vapor deposition. These junctions showed soft breakdown in addition to forward characteristics with a diode factor greater than 2. The ternary alloy has a cut-off wavelength in the 8-11-micron range, thus providing a potential material system for detectors covering the 8-12-micron range.

Journal ArticleDOI
TL;DR: In this paper, the effects of deposition parameters such as temperature and WF6 and H2 flow rates on the properties of the W films have been investigated, and it was shown that W films exhibit good contact resistance to N and P Si, and are also found to be excellent diffusion barriers between Al and Si at annealing temperatures up to 450C.
Abstract: Tungsten films have been selectively deposited (i.e., deposited on Si and TaSi2 to the exclusion of SiO2) by LPCVD via the reduction of WF6 by either Si or H2. Films formed by H2 reduction can be unlimite in thickness; however, those formed by Si reduction are self-limited in thickness to about 150A. The effects of deposition parameters such as temperature and WF6 and H2 flow rates on the properties of the W films have been investigated. To prevent excessive erosion of Si in window areas, the volumetric flow ratio of H2 to WF6 must be larger than the critical value of about three. Typical films are polycrystalline with an average grain size of 2000A and exhibit a tensile film stres of about 7 X 10Z dyn/cmS. W film resistivity is found to be about 13 -cm for a 1000A film, resulting in sheet resistance of 1.3 . The W films exhibit good contact resistance to N and P Si, and are also found to be excellent diffusion barriers between Al and Si at annealing temperatures up to 450C.

Patent
04 Jun 1985
TL;DR: In this article, a thin film photovoltaic device comprising a first layer of copper indium diselenide p-type semiconductor and a second layer of n-type zinc oxide semiconductor was proposed.
Abstract: A thin film photovoltaic device comprising a first layer of copper indium diselenide p-type semiconductor and a second layer of n-type zinc oxide semiconductor. In a preferred form, the first portion of the zinc oxide film at the junction with the CIS is undoped to have relatively high resistivity, while the remaining portion thereof is doped to achieve low resistivity. The zinc oxide is preferably deposited by a low temperature chemical vapor deposition process.