scispace - formally typeset
Search or ask a question

Showing papers on "Clock gating published in 2005"


Patent
05 Dec 2005
TL;DR: In this paper, an apparatus for on-demand power management including a system controller, a clock domain manager coupled with the system controller and a power distribution manager coupled to the controller is presented.
Abstract: An apparatus for on-demand power management including a system controller, a clock domain manager coupled to the system controller and a power distribution manager coupled to the system controller. The system controller monitors a processing demand in a processing system. The clock domain manager provides one or more clock frequencies and, in response to the processing demand, switches between a first set of clock frequencies and a second set of clock frequencies without halting the processing system. The power distribution manager provides one or more operating voltages and, in response to the processing demand, switches between a first set of voltages and a second set of voltages without halting the processing system.

187 citations


Proceedings ArticleDOI
02 Oct 2005
TL;DR: This paper model and analyze several strongly related parameters such as sleep-transistor size, decap area, and supply voltage level, and concludes that the power-gating technique can benefit from the technology nodes in future technology nodes.
Abstract: Power-gating is a technique for saving leakage power by shutting off the idle blocks. However, without good understanding and careful design, negative effects of power gating may overwhelm the potential gain and may make the technique not worth the effort. In this paper, we report on our study of the benefits and costs of the power-gating technique in terms of power, area, and performance. We model and analyze several strongly related parameters such as sleep-transistor size, decap area, and supply voltage level. We also report on our experiments to demonstrate how the gated area, circuit behavior and power mesh granularity affect the power gating technique at the system level. Experimental results show that, by compromising 4% of the total area and 5% of the dynamic power, we can achieve 47% leakage power saving while maintaining the same performance. With technology scaling down, the saving is significant. We conclude that we can benefit from the power-gating technique in future technology nodes.

152 citations


Patent
Martin Saint-Laurent1
13 Sep 2005
TL;DR: In this article, a clock distribution network for clock distribution in an integrated circuit (IC) using digital feedback for skew compensation and jitter filtering is presented, where the clock generator generates a master clock for distribution to the clock processor nodes.
Abstract: A clock distribution network for clock distribution in an integrated circuit (IC) using digital feedback for skew compensation and jitter filtering. In an embodiment, a number of clock processor nodes are distributed throughout the clock distribution network on the IC at respective local clock regions. A master clock generator generates a master clock for distribution to the clock processor nodes, via the clock distribution network, to compensate clock skew and filter clock jitter locally at the respective local clock regions.

136 citations


Journal ArticleDOI
TL;DR: A novel circuit technique to virtually eliminate test power dissipation in combinational logic by masking signal transitions at the logic inputs during scan shifting by inserting an extra supply gating transistor in the supply to ground path for the first-level gates at the outputs of the scan flip-flops.
Abstract: Reduction in test power is important to improve battery lifetime in portable electronic devices employing periodic self-test, to increase reliability of testing, and to reduce test cost. In scan-based testing, a significant fraction of total test power is dissipated in the combinational block. In this paper, we present a novel circuit technique to virtually eliminate test power dissipation in combinational logic by masking signal transitions at the logic inputs during scan shifting. We implement the masking effect by inserting an extra supply gating transistor in the supply to ground path for the first-level gates at the outputs of the scan flip-flops. The supply gating transistor is turned off in the scan-in mode, essentially gating the supply. Adding an extra transistor in only one logic level renders significant advantages with respect to area, delay, and power overhead compared to existing methods, which use gating logic at the output of scan flip-flops. Moreover, the proposed gating technique allows a reduction in leakage power by input vector control during scan shifting. Simulation results on ISCAS89 benchmarks show an average improvement of 62% in area overhead, 101% in power overhead (in normal mode), and 94% in delay overhead, compared to the lowest cost existing method.

125 citations


Patent
14 Nov 2005
TL;DR: In this paper, the authors present a method and apparatus for scaling frequency and operating voltage of at least one clock domain of a microprocessor, where the frequency and voltage of each clock domain is independent of the others.
Abstract: A method and apparatus for scaling frequency and operating voltage of at least one clock domain of a microprocessor. More particularly, embodiments of the invention relate to techniques to divide a microprocessor into clock domains and control the frequency and operating voltage of each clock domain independently of the others.

120 citations


Journal ArticleDOI
TL;DR: The simulated results show that by halving the clock frequency, dual-edge clocking strategy can save about 50% of the power consumed by the clock distribution network, and relax the design of clock distribution system, while paying virtually no penalty in throughput.
Abstract: This paper describes the classification, detailed timing characterization, evaluation, and design of the dual-edge triggered storage elements (DETSE). The performance and power characterization of DETSE includes the effect of clocking at halved clock frequency and impact of load imposed by the storage element to the clock distribution network. The presented analysis estimates the timing penalty and power savings of a system based on DETSE, and gives design guidelines for high-performance and low-power application. In addition, the paper presents a class of dual-edge triggered flip-flops with clock load, delay, and internal power consumption comparable to the fastest single-edge triggered storage elements (SETSE). Our simulated results show that by halving the clock frequency, dual-edge clocking strategy can save about 50% of the power consumed by the clock distribution network, and relax the design of clock distribution system, while paying virtually no penalty in throughput.

97 citations


Journal ArticleDOI
03 Jan 2005
TL;DR: In this article, a new approach to global clock distribution is presented, in which tree-driven grids are augmented with on-chip spiral inductors to resonate the clock capacitance, with reduced admittance of the clock network allowing for significantly lower gain requirements in the buffering network.
Abstract: This work presents a new approach to global clock distribution in which tree-driven grids are augmented with on-chip spiral inductors to resonate the clock capacitance. In this scheme, the energy of the fundamental frequency resonates between electric and magnetic forms, with the reduced admittance of the clock network allowing for significantly lower gain requirements in the buffering network. The substantial improvements in jitter and power resulting from this approach are presented using measurement results from two test chips, one fabricated in a 90-nm and the other in a 0.18-/spl mu/m CMOS technology.

91 citations


Proceedings ArticleDOI
N. Seifert1, P. Shipley1, M.D. Pant1, V. Ambrose1, B. Gill2 
17 Apr 2005
TL;DR: In this paper, the reliability risk due to radiation-induced single event upsets (SEU) of clock nodes for flip flop and pulse latch based designs is assessed and two basic upset modes are identified: radiationinduced clock jitter and radiationinduced race.
Abstract: The paper assesses the reliability risk due to radiation-induced single event upsets (SEU) of clock nodes for flip flop and pulse latch based designs. Two basic upset modes are identified: radiation-induced clock jitter and radiation-induced race. Our simulation results indicate that the radiation-induced clock soft error rate (SER) cannot be neglected on the chip-level. Particularly for pulse latch based designs, upsets occurring in the clock generator have the potential to dominate the chip-level SER if no mitigation techniques are applied. Our results show that the hardened pulse latch in combination with a hardened and shared pulse generator yields a 20/spl times/ improvement in sequential SER as well as the lowest susceptibility to radiation-induced race and clock jitter with little area and performance penalty.

86 citations


Patent
15 Jul 2005
TL;DR: In this article, a clock generator is formed by inner and outer delay-locked loops, where the inner loop includes a voltage controlled delay line that delays a reference clock applied to its input by a plurality of respective delays.
Abstract: A clock generator circuit generates a sequence of clock signals equally phased from each other from a master clock signal. The clock generator is formed by inner and outer delay-locked loops. The inner delay-locked loop includes a voltage controlled delay line that delays a reference clock applied to its input by a plurality of respective delays. Two of the clock signals in the sequence are applied to a phase detector so that the signals at the outputs of the delay line have predetermined phases relative to each other. The outer delay-locked loop is formed by a voltage controlled delay circuit that delays the command clock by a voltage controlled delay to provide the reference clock to the delay line of the inner delay-locked loop. The outer delay-locked loop also includes a phase detector that compares the command clock to one of the clock signals in the sequence generated by the delay line. The outer delay-locked loop thus locks one of the clock signals in the sequence to the command clock. As a result, all of the clock signals in the sequence generated by the delay line have respective predetermined phases relative to the phase of the command clock. One of the clock signals in the sequence is selected by a multiplexer to clock a command data latch at a time corresponding to the delay in coupling a command data bit to the latch.

81 citations


Proceedings ArticleDOI
31 May 2005
TL;DR: An efficient linear time algorithm TACO is proposed for the first time to minimize the worst case clock skew in the presence of on-chip thermal variation and attempts to minimizing the clock tree wirelength by building up merging diamonds in a bottom-up manner.
Abstract: In this paper, an efficient linear time algorithm TACO is proposed for the first time to minimize the worst case clock skew in the presence of on-chip thermal variation. TACO, while tries to minimize the worst case clock skew, also attempts to minimize the clock tree wirelength by building up merging diamonds in a bottom-up manner. As an output, TACO provides balanced merging points and the modified clock routing paths to minimize the worst case clock skew under thermal variation. Experimental results on a set of standard benchmarks show 50-70% skew reduction with less than 0.6% wirelength overhead.

77 citations


Proceedings ArticleDOI
31 May 2005
TL;DR: The experimental results show that the link based non-tree approach can reduce the maximal skew by 47, improve the skew yield from 15% to 73% on average with a decrease on the total wire and buffer capacitance.
Abstract: Clock skew is becoming increasingly difficult to control due to variations. Link based non-tree clock distribution is a cost-effective technique for reducing clock skew variations. However, previous works based on this technique were limited to unbuffered clock networks and neglected spatial correlations in the experimental validation. In this work, we overcome these shortcomings and make the link based non-tree approach feasible for realistic designs. The short circuit risk and multi-driver delay issues in buffered non-tree clock networks are investigated. Our approach is validated with SPICE based Monte Carlo simulations, considering spatial correlations among variations. The experimental results show that our approach can reduce the maximal skew by 47%, improve the skew yield from 15% to 73% on average with a decrease on the total wire and buffer capacitance.

Patent
23 May 2005
TL;DR: In this paper, the buffering of the data is associated with a buffering delay, and a count value accumulated between receiving the write timing signal and the read timing signal corresponds to the buffer delay.
Abstract: Buffer circuitry receives data to be processed by electronic circuitry using a first clock signal associated with a first clock domain. The buffered data is output using a second clock signal associated with a second clock domain. The buffering of the data is associated with a buffering delay. Counting circuitry receives at a start count input a write timing signal associated with the first clock domain and with writing data to the buffer circuitry. The counting circuitry receives at a stop count input a read timing signal associated with the second clock domain and with reading data from the buffer circuitry. A count value accumulated between receiving the write timing signal and the read timing signal corresponds to the buffering delay. Control circuitry performs a control operation based on the count value.

Proceedings ArticleDOI
12 Feb 2005
TL;DR: This paper examines the realistic benefits and limits of clock-gating in current generation high-performance processors and examines additional opportunities to avoid unnecessary clocking in real workload executions, and examines the power reduction benefits of a couple of newly invented schemes called transparent pipeline clock- gating and elastic pipeline Clock-Gating.
Abstract: Clock-gating has been introduced as the primary means of dynamic power management in recent high-end commercial microprocessors. The temperature drop resulting from active power reduction can result in additional leakage power savings in future processors. In this paper we first examine the realistic benefits and limits of clock-gating in current generation high-performance processors (e.g. of the POWER4/spl trade/ or POWER5/spl trade/ class). We then look beyond classical clock-gating: we examine additional opportunities to avoid unnecessary clocking in real workload executions. In particular, we examine the power reduction benefits of a couple of newly invented schemes called transparent pipeline clock-gating and elastic pipeline clock-gating. Based on our experiences with current designs, we try to bound the practical limits of clock gating efficiency in future microprocessors.

Journal ArticleDOI
29 Aug 2005
TL;DR: A single-chip H.264 and MPEG-4 audio-visual LSI for mobile applications including terrestrial digital broadcasting system (ISDB-T / DVB-H) with a module-wise, dynamic voltage/frequency scaling architecture is presented for the first time.
Abstract: A single-chip H.264 and MPEG-4 audio-visual LSI for mobile applications including terrestrial digital broadcasting system (ISDB-T / DVB-H) with a module-wise, dynamic voltage/frequency scaling architecture is presented for the first time. This LSI can keep operating even during the voltage/frequency transition, so there is no performance overhead. It is realized through a dynamic deskewing system and an on-chip voltage regulator with slew rate control. By the combination with traditional low power techniques such as embedded DRAM and clock gating, it consumes only 63 mW in decoding QVGA H.264 video at 15 frames/sec and MPEG-4 AAC LC audio simultaneously.

Proceedings ArticleDOI
13 Jun 2005
TL;DR: This work proposes to navigate registers in cell placement for further clock network size reduction by suggesting the following techniques in a quadratic placement framework: Manhattan ring based register guidance; center of gravity constraints for registers; pseudo pin and net; and register cluster contraction.
Abstract: The progress of VLSI technology is facing two limiting factors: power and variation. Minimizing clock network size can lead to reduced power consumption, less power supply noise, less number of clock buffers and therefore less vulnerability to variations. Previous works on clock network minimization are mostly focused on clock routing and the improvements are often limited by the input register placement. In this work, we propose to navigate registers in cell placement for further clock network size reduction. To solve the conflict between clock network minimization and traditional placement goals, we suggest the following techniques in a quadratic placement framework: (1) Manhattan ring based register guidance; (2) center of gravity constraints for registers; (3) pseudo pin and net; (4) register cluster contraction. These techniques work for both zero skew and prescribed skew designs in both wirelength driven and timing driven placement. Experimental results show that our method can reduce clock net wirelength by 16% -33% with no more than 0.5% increase on signal net wirelength compared with conventional approaches.

Proceedings ArticleDOI
20 Mar 2005
TL;DR: This paper uses Qsilver - instrumented with a power model and HotSpot - to analyze the application of standard CPU static and runtime thermal management techniques on the GPU, and shows that the inherent parallelism of GPU workloads enables significant thermal gains on chips designed employing static floorplan repartitioning.
Abstract: We have previously presented Qsilver, a flexible simulation system for graphics architectures. In this paper we describe our extensions to this system, which we use - instrumented with a power model and HotSpot - to analyze the application of standard CPU static and runtime thermal management techniques on the GPU. We describe experiments implementing clock gating, fetch gating, dynamic voltage scaling, multiple clock domains and permuted floor-planning on the GPU using our simulation environment, and demonstrate that these techniques are beneficial in the GPU domain. Further, we show that the inherent parallelism of GPU workloads enables significant thermal gains on chips designed employing static floorplan repartitioning

Patent
29 Jul 2005
TL;DR: In this article, a sleep signal for fine-grained power-gating has been proposed for both time-critical and non-time-critical switch circuits that reduce active leakage power.
Abstract: A method extends a clock-gating technique to provide a sleep signal for controlling switch circuits that reduce active leakage power. Using this extension of the clock-gating technique, fine-grained power-gating is achieved. The method automatically identifies, at an RTL or a gate level, the logic circuits that can be power-gated. The method of the present invention derives a sleep signal for fine-grained power-gating that may be applicable to both time-critical and non-time-critical designs.

Proceedings ArticleDOI
13 Jun 2005
TL;DR: An opposite-phase scheme for peak current reduction is proposed, which can reduce the peak current of the clock tree nearly 50%.
Abstract: Although a lot of research efforts have been made in the minimization of the total power consumption caused by the clock tree, no attention has been paid to the minimization of the peak current caused by the clock tree. In this paper, we propose an opposite-phase scheme for peak current reduction. Our basic idea is to divide the clock buffers at each level of the clock tree into two sets: an half of clock buffers operate at the same phase of the clock source, and another half of clock buffers operate at the opposite phase of the clock source. Consequently, our approach can reduce the peak current of the clock tree nearly 50%. Experimental data consistently show that our approach works well in practice.

Patent
29 Aug 2005
TL;DR: In this paper, an integrated circuit is provided comprising a processor, an onboard system clock having a ring oscillator for generating a clock signal, a memory, and clock trim circuitry.
Abstract: An integrated circuit is provided comprising a processor, an onboard system clock having a ring oscillator for generating a clock signal, a memory, and clock trim circuitry. The processor is arranged to, in response to receiving an external signal, determine the number of cycles of the clock signal during a predetermined number of cycles of the external signal or the number of cycles of the external signal during a predetermined number of cycles of the clock signal and to output the determined number of cycles to an external circuit. The processor is also arranged to, in response to receiving a trim value based on the determined number of cycles from the external circuit, store the trim value in the memory and control the clock trim circuitry to trim the frequency of the clock signal generated by the ring oscillator using the trim value.

Proceedings ArticleDOI
Swarup Bhunia1, Nilanjan Banerjee1, Qikai Chen1, Hamid Mahmoodi1, Kaushik Roy1 
13 Jun 2005
TL;DR: A logic synthesis approach based on Shannon expansion is proposed that dynamically applies supply gating to idle parts of general logic circuits even when they are performing useful computation.
Abstract: Due to exponential increase in subthreshold leakage with technology scaling and temperature increase, leakage power is becoming a major fraction of total power in the active mode. We present a novel low-cost design methodology with associated synthesis flow for reducing both switching and active leakage power using dynamic supply gating. A logic synthesis approach based on Shannon expansion is proposed that dynamically applies supply gating to idle parts of general logic circuits even when they are performing useful computation. Experimental results on a set of MCNC benchmark circuits in a predictive 70nm process exhibits improvements of 15% to 88% in total active power compared to the results obtained by a conventional optimization flow.

Proceedings ArticleDOI
13 Jun 2005
TL;DR: This paper develops a low power technique to reduce the activities of PEs according to the varying traffic volume, and shows that this technique brings significant reduction in power consumption of NPs with no packet loss and little impact to the overall throughput.
Abstract: Network processors (NPs) have emerged as successful platforms to providing both high performance and flexibility in building powerful routers. Typical NPs incorporate multiprocessing and multi-threading to achieve maximum parallel processing capabilities. We observed that under low incoming traffic rates, most processing elements (PEs) in NPs are nearly idle and yet still consume dynamic power. This paper develops a low power technique to reduce the activities of PEs according to the varying traffic volume. We propose to monitor the average number of idle threads in a time window, and gate off the clock network of unused PEs when a subset of PEs is enough to handle the network traffic. We show that our technique brings significant reduction in power consumption (up to 30%) of NPs with no packet loss and little impact to the overall throughput.

Patent
Dan Ozasa1, Ishida Masaaki1
11 Apr 2005
TL;DR: In this article, a pixel clock generation circuit is presented, including a high frequency clock generation unit, a clock modulation data generator, and a clock phase data generator to indicate the timing of a transition in pixel clock phase.
Abstract: A pixel clock generation circuit is disclosed, including a high frequency clock generation unit configured to generate high frequency clock, a clock modulation data generation unit configured to generate clock modulation data based on pixel clock phase data indicating timing of a transition in pixel clock. The pixel clock generation circuit further includes a modulation clock generation unit configured to modulate the frequency and phase of the high frequency clock based on the modulation data thereby to generate modulated pixel clock.

Patent
03 May 2005
TL;DR: In this paper, the authors proposed a power gating structure with data retention and intermediate modes and able to operate under multiple modes, such as active mode, standby mode, and active mode.
Abstract: The present invention provides a power gating structure having data retention and intermediate modes and able to operate under multiple modes. A conventional power gating structure has only turn-on and turn-off functions, and is used to suppress a leakage current problem which has become more and more serious in advance manufacture processes, under a turn-off mode. However, in a memory circuit, such as latch, register and SRAM, when the power gate is turned off, a new power gating structure is required for data retention. The power gating structure of the present invention can be set into one of 4 different operational modes: a data retention mode for maintaining the static noise margin of the memory, an intermediate mode for reducing the interference on ground and power levels, an active mode used when the circuit operates in normal condition, and a standby mode used when the circuit does not operate.

Patent
08 Apr 2005
TL;DR: In this paper, a clock distribution network includes a plurality of dynamically adjustable clock buffers, and a control circuit connected to each clock buffer controls the delays through the clock buffers in response to process, voltage, and temperature variations, and consequently maintains a relatively constant signal propagation delay through the network.
Abstract: Described are methods and systems for distributing low-skew, predictably timed clock signals. A clock distribution network includes a plurality of dynamically adjustable clock buffers. A control circuit connected to each clock buffer controls the delays through the clock buffers in response to process, voltage, and temperature variations, and consequently maintains a relatively constant signal-propagation delay through the network. In one embodiment, each clock buffer includes skew-offset circuitry that adds to or subtracts from the PVT compensated delay values provided by the PVT control circuit to simplify clock skew minimization.

Patent
30 Aug 2005
TL;DR: In this article, a clock controller for use with an off-chip driver and including a first delay element, a second delay element and a restore circuit is presented, where the restore circuit adjusts the first and second time delays to adjust edges of the first output clock such that output data from the offchip driver aligns with edges of a reference clock.
Abstract: A clock controller for use with an off-chip driver and including a first delay element, a second delay element, a restore circuit, and an adjustment circuit. The clock controller includes a node receiving a reference clock represented by a least one clock signal. The first delay element is configured to delay one of the at least one clock signals by a first delay time, and the second delay element is configured to delay one of the at least one clock signals by a second delay time. The restore circuit is configured to provide at least a first output clock to the off-chip driver, wherein the off-chip driver provides output data based at least on the first output clock. The adjustment circuit is configured to adjust the first and second time delays to adjust edges of the first output clock such that output data from the off-chip driver aligns with edges of the reference clock, and to adjust the second delay time to maintain the first output clock at a desired duty cycle.

Patent
21 Jun 2005
TL;DR: In this article, a variable speed data processor includes a clock generator generating a plurality of clocks at different clock rates, synchronously selecting one of the clocks as an output clock signal to data processing circuitry, based on a data activity indication.
Abstract: A variable speed data processor includes a clock generator generating a plurality of clocks at different clock rates. Clock select circuitry synchronously selects one of the clocks as an output clock signal to data processing circuitry, based on a data activity indication. Activity logic generates the data activity indication based at least in part on the existence of data processing activity targeted to the data processing circuitry. When the data processing circuitry experiences bursty data processing activity, the clock rate can shift rapidly between the multiple clock rates, conserving power without substantially diminishing the availability of the data processing circuitry.

Proceedings ArticleDOI
29 Aug 2005
TL;DR: In this paper, a distributed differential oscillator global clock network using on-chip spiral inductors is designed in a 0.18 /spl mu/m 1.8V CMOS technology.
Abstract: A distributed differential oscillator global clock network using on-chip spiral inductors is designed in a 0.18 /spl mu/m 1.8V CMOS technology. The 2mm/spl times/2mm resonant clock network has a tank Q of 4.3, achieves more than an order of magnitude less jitter than a conventional non-resonant tree-driven-grid global clock network, and uses almost three times less power.

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate an effective combination of two methodologies for ground-bounce reduction based on shaping the supply current: 1) introducing intentional skews to the synchronous clock network and 2) frequency modulation of the system clock.
Abstract: In a synchronous clock-distribution network, digital circuits switch simultaneously on the clock edge; therefore, they generate ground bounce due to sharp peaks of the supply current. We demonstrate an effective combination of two methodologies for ground-bounce reduction based on shaping the supply current: 1) introducing intentional skews to the synchronous clock network and 2) frequency modulation of the system clock. The former technique reduces the time-domain peaks as well as the spectral power of the supply current by spreading the simultaneous switching activities. The latter technique reduces the power contained in the clock harmonics by spreading this power into the side lobes formed around the clock harmonics without any change in the spectral power of the supply current. We also describe an analytical framework to analyze the impact of cycle-to-cycle variations of the supply current on the ground-bounce voltage. Simulation results for a 40K-gates circuit in a 0.18-/spl mu/m 1.8-V CMOS process on a bulk-type substrate show around 26 dB reduction in the spectral peaks of the ground-bounce spectrum at the circuit resonance and factors of 3.04/spl times/ and 2.64/spl times/ reduction in the peak-to-peak and RMS values, respectively, of the ground bounce in the time domain when these two techniques are combined. These two techniques are believed to be good candidates for the development of digital low-noise designs in CMOS technologies.

Patent
18 May 2005
TL;DR: In this article, a phase-lock loop is used to generate an output clock signal from an input clock signal, which is then coupled through a clock tree and fed back to a phase detector.
Abstract: A phase-lock loop generates an output clock signal from an input clock signal. The output clock signal is coupled through a clock tree and is fed back to a phase detector, which compares the phase of the output clock signal to the phase of the input clock signal. The output clock signal is generated by a voltage controlled oscillator having a control input coupled to receive an output from the phase detector, and a frequency multiplier coupled to the output of the voltage controlled oscillator. As a result, the CLKOUT signal generated by the frequency multiplier has a relatively high frequency while the voltage controlled oscillator, by operating at a relatively low frequency, uses relatively little power.

Patent
18 Jul 2005
TL;DR: In this article, a distributed fault-tolerant clock pulse generation in hardware systems, especially in VLSI chips, is described, in which the system clock pulse is formed in a distributed manner by means of a plurality of intercommunicating, fault-resistant clock pulse synchronisation algorithms (TS-Algs), without using external or internal clock pulse oscillators.
Abstract: The invention relates to method for the distributed fault-tolerant clock pulse generation in hardware systems, especially in VLSI chips, systems-on-a-chip, IP-cores and PCBs, said method being characterised in that a) the system clock pulse is formed in a distributed manner by means of a plurality of intercommunicating, fault-tolerant clock pulse synchronisation algorithms (TS-Algs), without using external or internal clock pulse oscillators, by i) any number of such TS-Algs exchanging information between each other by means of a network (TS-Net) subjected to any permanent and transient errors, ii) each TS-Alg is associated with at least one functional unit (Fu1, Fu2, …), generating the local clock pulse thereof; b) all local clock pulses are maintained with a guaranteed frequency synchronisation, c) a specified number of transient and/or permanent errors can occur in the TS-Algs or in the TS-Net without affecting the clock pulse generation and/or the synchronisation accuracy; and d) the system clock pulse automatically reaches the maximum possible frequency determined by the used production technology, the Placement & Routing of the TS-Algs and the TS-Nets, and the current operating conditions (temperature, supply voltage etc)