scispace - formally typeset
Search or ask a question

Showing papers on "Clock synchronization published in 2009"


Proceedings ArticleDOI
13 Apr 2009
TL;DR: The Gradient Time Synchronization Protocol (GTSP) is proposed which is designed to provide accurately synchronized clocks between neighbors and works in a completely decentralized fashion: Every node periodically broadcasts its time information.
Abstract: Accurately synchronized clocks are crucial for many applications in sensor networks. Existing time synchronization algorithms provide on average good synchronization between arbitrary nodes, however, as we show in this paper, close-by nodes in a network may be synchronized poorly. We propose the Gradient Time Synchronization Protocol (GTSP) which is designed to provide accurately synchronized clocks between neighbors. GTSP works in a completely decentralized fashion: Every node periodically broadcasts its time information. Synchronization messages received from direct neighbors are used to calibrate the logical clock. The algorithm requires neither a tree topology nor a reference node, which makes it robust against link and node failures. The protocol is implemented on the Mica2 platform using TinyOS. We present an evaluation of GTSP on a 20-node testbed setup and simulations on larger network topologies.

338 citations


Journal ArticleDOI
06 Jan 2009-Sensors
TL;DR: This paper reviews the existing clock synchronization protocols for WSNs and the methods of estimating clock offset and clock skew in the most representative clock synchronization Protocol for W SNs.
Abstract: The development of tiny, low-cost, low-power and multifunctional sensor nodes equipped with sensing, data processing, and communicating components, have been made possible by the recent advances in micro-electro-mechanical systems (MEMS) technology. Wireless sensor networks (WSNs) assume a collection of such tiny sensing devices connected wirelessly and which are used to observe and monitor a variety of phenomena in the real physical world. Many applications based on these WSNs assume local clocks at each sensor node that need to be synchronized to a common notion of time. This paper reviews the existing clock synchronization protocols for WSNs and the methods of estimating clock offset and clock skew in the most representative clock synchronization protocols for WSNs.

212 citations


Proceedings ArticleDOI
20 Sep 2009
TL;DR: The proposed approach, called Quorum-based Channel Hopping (QCH) system, can be used for implementing rendezvous protocols in DSA networks that are robust against link breakage caused by the appearance of incumbent user signals.
Abstract: Establishing a control channel for medium access control is a challenging problem in multi-channel and dynamic spectrum access (DSA) networks. In the design of multi-channel MAC protocols, the use of channel (or frequency) hopping techniques (a.k.a. parallel rendezvous) have been proposed to avoid the bottleneck of a single control channel. In DSA networks, the dynamic and opportunistic use of the available spectrum requires that the radios are able to "rendezvous" -- i.e., find each other to establish a link. The use of a dedicated global control channel simplifies the rendezvous process but may not be feasible in many opportunistic spectrum sharing scenarios due to the dynamically changing availability of all the channels, including the control channel. To address this problem, researchers have proposed the use of channel hopping protocols for enabling rendezvous in DSA networks.This paper presents a systematic approach, based on quorum systems, for designing and analyzing channel hopping protocols for the purpose of control channel establishment. The proposed approach, called Quorum-based Channel Hopping (QCH) system, can be used for implementing rendezvous protocols in DSA networks that are robust against link breakage caused by the appearance of incumbent user signals. We describe two optimal QCH systems under the assumption of global clock synchronization: the first system is optimal in the sense that it minimizes the time-to-rendezvous between any two channel hopping sequences; the second system is optimal in the sense that it guarantees the even distribution of the rendezvous points in terms of both time and channel, thus solving the \emph{rendezvous convergence} problem. We also propose an asynchronous QCH system that does not require global clock synchronization. Our analytical and simulation results show that the channel hopping schemes designed using our framework outperform existing schemes under various network conditions.

202 citations


Proceedings ArticleDOI
04 Nov 2009
TL;DR: PulseSync is presented, a new clock synchronization algorithm that is asymptotically optimal and shows that for larger networks, PulseSync offers an accuracy which is several orders of magnitude better than FTSP.
Abstract: Having access to an accurate time is a vital building block in all networks; in wireless sensor networks even more so, because wireless media access or data fusion may depend on it. Starting out with a novel analysis, we show that orthodox clock synchronization algorithms make fundamental mistakes. The state-of-the-art clock synchronization algorithm FTSP exhibits an error that grows exponentially with the size of the network, for instance. Since the involved parameters are small, the error only becomes visible in midsize networks of about 10--20 nodes. In contrast, we present PulseSync, a new clock synchronization algorithm that is asymptotically optimal. We evaluate PulseSync on a Mica2 testbed, and by simulation on larger networks. On a 20 node network, the prototype implementation of PulseSync outperforms FTSP by a factor of 5. Theory and simulation show that for larger networks, PulseSync offers an accuracy which is several orders of magnitude better than FTSP. To round off the presentation, we investigate several optimization issues, e.g. media access and local skew.

196 citations


Proceedings ArticleDOI
04 Nov 2009
TL;DR: ADB (Asynchronous Duty-cycle Broadcasting) is presented, a new protocol for efficient multihop broadcast in wireless sensor networks using asynchronous duty-cycling that substantially reduced energy consumption, network load, and delivery latency compared to other protocols.
Abstract: The use of asynchronous duty-cycling in wireless sensor network MAC protocols is common, since it can greatly reduce energy consumption and requires no clock synchronization. However, existing systems using asynchronous duty-cycling do not efficiently support broadcast-based communication that may be used, for example, in route discovery or in network-wide queries or information dissemination. In this paper, we present the design and evaluation of ADB (Asynchronous Duty-cycle Broadcasting), a new protocol for efficient multihop broadcast in wireless sensor networks using asynchronous duty-cycling. ADB differs from traditional multihop broadcast protocols that operate above the MAC layer, in that it is integrated with the MAC layer to exploit information only available at this layer. Rather than treating the data transmission from a node to all of its neighbors as the basic unit of progress for the multihop broadcast, ADB dynamically optimizes the broadcast at the level of transmission to each individual neighbor of a node, as the neighbors asynchronously wakeup. We evaluate ADB both through ns-2 simulations and through measurements in a testbed of MICAz motes using TinyOS, and compare its performance to multihop broadcast based on X-MAC and on RI-MAC. In both evaluations, ADB substantially reduced energy consumption, network load, and delivery latency compared to other protocols, while achieving over 99% delivery ratio.

148 citations


Patent
03 Aug 2009
TL;DR: In this article, the timing synchronization between base stations of uncoordinated communication networks is discussed, where the clock synchronization can accommodate clock offsets and frequency offsets and can be identified from a strongest synchronization signal from nearby UEs.
Abstract: Timing synchronization between base stations of uncoordinated communication networks includes obtaining timing synchronization information from one base station, and adjusting a clock of the other station in response to the synchronization information. The timing synchronization information can be identified from a strongest synchronization signal from nearby uncoordinated base stations. The timing synchronization can accommodate clock offsets and frequency offsets.

141 citations


Proceedings ArticleDOI
04 Nov 2009
TL;DR: A novel low-power hardware module for achieving global clock synchronization by tuning to the magnetic field radiating from existing AC power lines by providing a protocol that sets a global notion of time by accounting for phase-offsets.
Abstract: Clock synchronization is highly desirable in many sensor networking applications. It enables event ordering, coordinated actuation, energy-efficient communication and duty cycling. This paper presents a novel low-power hardware module for achieving global clock synchronization by tuning to the magnetic field radiating from existing AC power lines. This signal can be used as a global clock source for battery-operated sensor nodes to eliminate drift between nodes over time even when they are not passing messages. With this scheme, each receiver is frequency-locked with each other, but there is typically a phase-offset between them. Since these phase offsets tend to be constant, a higher-level compensation protocol can be used to globally synchronize a sensor network. We present the design of an LC tank receiver circuit tuned to the AC 60Hz signal which we call a Syntonistor. The Syntonistor incorporates a low-power microcontroller that filters the signal induced from AC power lines generating a pulse-per-second output for easy interfacing with sensor nodes. The hardware consumes less than 58μW which is 2--3 times lower than the idle state of most sensor networking MAC protocols. Next, we evaluate a software clock-recovery technique running on the local microcontroller that minimizes timing jitter and provides robustness to noise. Finally, we provide a protocol that sets a global notion of time by accounting for phase-offsets. We evaluate the synchronization accuracy and energy performance as compared to in-band message passing schemes. The use of out-of-band signals for clock synchronization has the useful property of decoupling the synchronization scheme from any particular MAC protocol. Our experiments show that over a 11 day period, eight nodes distributed across the floor of the CIC building on Carnegie Mellon's campus remained synchronized on an average to less than 1ms without exchanging any radio messages beyond the initialization phase.

104 citations


Journal ArticleDOI
TL;DR: Two different methods for the control over a network, adaptive Smith predictor approach and robust control based approach, which are imposed by the accessible information about the network are proposed.

104 citations


Journal ArticleDOI
TL;DR: In this paper, the authors present an algorithm to detect the time and frequency differences of independent clocks based on observation of time-correlated photon pairs, which enables remote coincidence identification in entanglement-based quantum key distribution schemes without dedicated coincidence hardware, pulsed sources with a timing structure or very stable reference clocks.
Abstract: In this study, we present an algorithm to detect the time and frequency differences of independent clocks based on observation of time-correlated photon pairs. This enables remote coincidence identification in entanglement-based quantum key distribution schemes without dedicated coincidence hardware, pulsed sources with a timing structure or very stable reference clocks. We discuss the method for typical operating conditions and show that the requirement for reference clock accuracy can be relaxed by about five orders of magnitude in comparison with previous schemes.

97 citations


Journal ArticleDOI
TL;DR: This paper proposes an uncertainty-driven approach to duty-cycling, where a model of long-term clock drift is used to minimize the duty-Cycling overhead, and designs a rate-adaptive, energy-efficientLong-term time synchronization algorithm that can adapt to changing clock drift and environmental conditions, while achieving application-specific precision with very high probability.
Abstract: Radio duty cycling has received significant attention in sensor networking literature, particularly in the form of protocols for medium access control and topology management. While many protocols have claimed to achieve significant duty-cycling benefits in theory and simulation, these benefits have often not translated into practice. The dominant factor that prevents the optimal usage of the radio in real deployment settings is time uncertainty between sensor nodes which results in overhead in the form of long packet preambles, guard bands, and excessive control packets for synchronization. This paper proposes an uncertainty-driven approach to duty-cycling, where a model of long-term clock drift is used to minimize the duty-cycling overhead. First, we use long-term empirical measurements to evaluate and analyze in-depth the interplay between three key parameters that influence long-term synchronization: synchronization rate, history of past synchronization beacons, and the estimation scheme. Second, we use this measurement-based study to design a rate-adaptive, energy-efficient long-term time synchronization algorithm that can adapt to changing clock drift and environmental conditions, while achieving application-specific precision with very high probability. Finally, we integrate our uncertainty-driven time synchronization scheme with the BMAC medium access control protocol, and demonstrate one to two orders of magnitude reduction in transmission energy consumption with negligible impact on packet loss rate.

94 citations


Journal ArticleDOI
TL;DR: Two different key agreement protocols, which can resist guessing attack are presented, one of which works in clock synchronization environment and the second one can work without clock synchronization.
Abstract: In order to address Bergamo et al.’s attack, Xiao et al. proposed a key agreement protocol using chaotic maps. Han then presented three attacks on Xiao et al.’s protocol. To enhance the security of key agreement based on chaotic maps, Chang et al. proposed a new key agreement using passphrase, which works in clock synchronization environment. However, their protocol still has some issues: one is its passphrase is not easy to remember and much longer than password; the second one is it cannot resist guessing attack if the constructed passphrase is easy to remember and also has already existed in some rational dictionaries; the third one is it cannot work without clock synchronization. In this paper, we will present two different key agreement protocols, which can resist guessing attack. The first one works in clock synchronization environment. The second one can work without clock synchronization. They both use authenticated password for secure communications. The protocols are secure against replaying attacks and a shared session key can be established.

Journal ArticleDOI
TL;DR: TCTS exploits the on-board temperature sensor existing in many sensor network platforms and uses this temperature sensor to autonomously calibrate the local oscillator and removes effects of environmental temperature changes, which allows a time synchronization protocol to increase its resynchronization period, without loosing synchronization accuracy, and thus saves energy and communication overhead.
Abstract: Time synchronization in embedded sensor networks is an important service for correlating data between nodes and communication scheduling. While many different approaches to the problem are possible, one major effect of clock frequency difference between nodes, environmental temperature changes, has often been left out of the solution. The common assumption that the temperature is static over a certain period of time is often used as an excuse to assume constant frequency errors in a clock. This assumption forces synchronization protocols to resynchronize too often. While there exists hardware solutions to this problem, their prohibitive high cost and power consumption make them unsuitable for some applications, such as wireless sensor networks. Temperature compensated time synchronization (TCTS) exploits the on-board temperature sensor existing in many sensor network platforms. It uses this temperature sensor to autonomously calibrate the local oscillator and removes effects of environmental temperature changes. This allows a time synchronization protocol to increase its resynchronization period, without loosing synchronization accuracy, and thus saves energy and communication overhead. In addition, TCTS provides a stable clock source when radio communication is impaired. We present the theory behind TCTS, and provide initial results of a simulated comparison of TCTS and the flooding time synchronization protocol.

Patent
Zhao Jun1, Li Sanzhong1
30 Sep 2009
TL;DR: In this article, a time synchronization method for a passive optical network (PON) with a master clock and a slave clock is presented. But the synchronization packet carries a timestamp TMt1 i determined after the time synchronization of the OLT is achieved, adjusting a local clock according to the timestamp to achieve time synchronization.
Abstract: A time synchronization method and a time synchronization device in a passive optical network (PON), and a PON are provided. The method includes receiving a synchronization packet sent after time synchronization of an optical line terminal (OLT) with a master clock (MC) is achieved, wherein the synchronization packet carries a timestamp TMt1 i determined after the time synchronization of the OLT is achieved, adjusting a local clock according to the timestamp to achieve time synchronization of an optical network unit/optical network terminal (ONU/ONT) with the OLT, and after the time synchronization of the OLT is achieved, instructing an slave clock (SC) to perform time synchronization. A time synchronization device and a time synchronization system for implementing the method in a PON are further provided.

Journal ArticleDOI
TL;DR: This work proposes an abstraction of ldquovirtual collocationrdquo and its realization by the software infrastructure of middleware, and describes the implementation as well as some experimental results over a traffic control testbed.
Abstract: We focus on the mechanism half of the policy-mechanism divide for networked control systems, and address the issue of what are the appropriate abstractions and architecture to facilitate their development and deployment. We propose an abstraction of ldquovirtual collocationrdquo and its realization by the software infrastructure of middleware. Control applications are to be developed as a collection of software components that communicate with each other through the middleware, called Etherware. The middleware handles the complexities of network operation, such as addressing, start-up, configuration and interfaces, by encapsulating application components in ldquoShellsrdquo which mediate component interactions with the rest of the system. The middleware also provides mechanisms to alleviate the effects of uncertain delays and packet losses over wireless channels, component failures, and distributed clocks. This is done through externalization of component state, with primitives to capture and reuse it for component restarts, upgrades, and migration, and through services such as clock synchronization. We further propose an accompanying use of local temporal autonomy for reliability, and describe the implementation as well as some experimental results over a traffic control testbed.

Journal ArticleDOI
TL;DR: This work builds on the key observation that the measurement of time differences, and absolute time, requires separate clocks, both at a conceptual level and practically, with distinct algorithmic, robustness, and accuracy characteristics, and defines robust algorithms for the synchronization of the absolute and difference TSCclocks over a network.
Abstract: We present a detailed re-examination of the problem of inexpensive yet accurate clock synchronization for networked devices. Based on an empirically validated, parsimonious abstraction of the CPU oscillator as a timing source, accessible via the TSC register in popular PC architectures, we build on the key observation that the measurement of time differences, and absolute time, requires separate clocks, both at a conceptual level and practically, with distinct algorithmic, robustness, and accuracy characteristics. Combined with round-trip time based filtering of network delays between the host and the remote time server, we define robust algorithms for the synchronization of the absolute and difference TSCclocks over a network. We demonstrate the effectiveness of the principles, and algorithms using months of real data collected using multiple servers. We give detailed performance results for a full implementation running live and unsupervised under numerous scenarios, which show very high reliability, and accuracy approaching fundamental limits due to host system noise. Our synchronization algorithms are inherently robust to many factors including packet loss, server outages, route changes, and network congestion.

Book
31 Aug 2009
TL;DR: This book summarizes the most important clock synchronization protocols proposed for wireless sensor networks with special emphasis placed on deriving efficient clock offset estimation schemes and performance benchmarks.
Abstract: Wireless sensor networks are set to play a key role in a wide range of civilian and military applications, with tiny sensors connected through wireless links performing various sensing, computing, communication, and control tasks in highly distributed systems. This book presents a critical element in the deployment of wireless sensor networks: the process of synchronization. It summarizes the most important clock synchronization protocols proposed for wireless sensor networks with special emphasis placed on deriving efficient clock offset estimation schemes and performance benchmarks. Graduate students of electrical and computer engineering and computer science will find this a valuable resource, as will engineers who are interested in designing efficient clock synchronization algorithms and improving the performance of existing synchronization protocols.

Journal ArticleDOI
TL;DR: This paper describes the design and implementation of a distributed self-stabilizing clock synchronization algorithm based on the biological example of Asian Fireflies and adopts a variant of the Reachback Firefly Algorithm to distribute the timing of light flashes in a given time window without affecting the quality of the synchronization.
Abstract: This paper describes the design and implementation of a distributed self-stabilizing clock synchronization algorithm based on the biological example of Asian Fireflies. Huge swarms of these fireflies use the principle of pulse coupled oscillators in order to synchronously emit light flashes to attract mating partners. When applying this algorithm to real sensor networks, typically, nodes cannot receive messages while transmitting, which prevents the networked nodes from reaching synchronization. In order to counteract this deafness problem, we adopt a variant of the Reachback Firefly Algorithm to distribute the timing of light flashes in a given time window without affecting the quality of the synchronization. A case study implemented on 802.15.4 Zigbee nodes presents the application of this approach for a time-triggered communication scheduling and coordinated duty cycling in order to enhance the battery lifetime of the nodes.

Journal ArticleDOI
TL;DR: To eliminate sawtooth errors that are peculiar to the 1PPS signals and optimally steer the clock errors each M seconds, the use of a ramp-predictive finite-impulse-response (FIR) filter that is known to be optimal for clock models on large averaging horizons is proposed.
Abstract: In this paper, we discuss optimal synchronization of local clocks using Global Positioning System (GPS) one-pulse-per-second (1PPS) timing signals. To eliminate sawtooth errors that are peculiar to the 1PPS signals and optimally steer the clock errors each M seconds, we propose the use of a ramp-predictive finite-impulse-response (FIR) filter that is known to be optimal for clock models on large averaging horizons. A low-pass filter is used to smooth the hold filter output between the optimally predicted points. A GPS-locked crystal clock has been investigated in detail in terms of the time interval error, Allan deviation, and precision time protocol (PTP) variance. A high-efficiency implementation of the proposed synchronization algorithm is experimentally demonstrated.

Proceedings ArticleDOI
29 Aug 2009
TL;DR: The result established a method for nodes in a network to maintain their clocks to within a 200 nanosecond offset from the reference clock of a master node, which provides compatibility between heterogeneous systems in WSNs.
Abstract: Wireless sensor networks are evolving from relatively undemanding applications to applications which have stronger requirements. The coordination of distributed entities and events requires time synchronization. Although a number of methods have been studied for WSNs, some applications require high precision time synchronization. Precision time synchronization enables a variety of extensions of applications. The IEEE 1588 precision time protocol (PTP) provides a standard method to synchronize devices in a network with sub-microsecond precision. This paper deals with precision time synchronization using IEEE 1588 over wireless sensor networks. Precision time synchronization using IEEE 1588 provides compatibility between heterogeneous systems in WSNs. This paper also presents experiments and performance evaluation of precision time synchronization in WSNs. Our result established a method for nodes in a network to maintain their clocks to within a 200 nanosecond offset from the reference clock of a master node.

Patent
11 May 2009
TL;DR: In this paper, a system includes multiple wireless nodes forming a cluster in a wireless network, where each wireless node is configured to communicate and exchange data wirelessly based on a clock.
Abstract: A system includes multiple wireless nodes forming a cluster in a wireless network, where each wireless node is configured to communicate and exchange data wirelessly based on a clock. One of the wireless nodes is configured to operate as a cluster master. Each of the other wireless nodes is configured to (i) receive time synchronization information from a parent node, (ii) adjust its clock based on the received time synchronization information, and (iii) broadcast time synchronization information based on the time synchronization information received by that wireless node. The time synchronization information received by each of the other wireless nodes is based on time synchronization information provided by the cluster master so that the other wireless nodes substantially synchronize their clocks with the clock of the cluster master.

Proceedings ArticleDOI
01 Dec 2009
TL;DR: An algorithm for optimal filtering of measurements across a link (i; j) in order to estimate the logarithm of the relative speedup of node j with respect to node i, and an efficient distributed suboptimal scheme.
Abstract: In a network of clocks, we consider a given reference node to determine the time evolution t. We introduce and analyze a stochastic model for clocks, in which the relative speedup of a clock, called the skew, is characterized by some given stochastic process. We study the problem of synchronizing clocks in a network, which amounts to estimating the instantaneous relative skews and relative offsets by exchange of time-stamped packets across the links of the network. We present a scheme for obtaining measurements in a communication link. We develop an algorithm for optimal filtering of measurements across a link (i; j) in order to estimate the logarithm of the relative speedup of node j with respect to node i, and we further study some implementation issues. We also present a scheme for pairwise offset estimation based on skew estimates. We study the properties of our algorithms and provide theoretical guarantees on their performance. We also develop an online centralized model-based asynchronous algorithm for optimal filtering of the time-stamps in the entire network, and an efficient distributed suboptimal scheme.

Proceedings ArticleDOI
24 Nov 2009
TL;DR: The effects on synchronization performances of the accuracy of skew and offset estimates, the short and long-term stability of the unregulated clock, the rate at which timing information is exchanged within the network are analyzed.
Abstract: This paper analyzes the effects on synchronization performances of the accuracy of skew and offset estimates, the short and long-term stability of the unregulated clock, the rate at which timing information is exchanged within the network The work makes use of a simulated clock based on the state-variable model, for which realistic parameters are obtained from experimental measurements of Allan variance plots for different kinds of clocks

Proceedings ArticleDOI
04 Nov 2009
TL;DR: A synchronization protocol called Harmonia, which uses the finely granular microcontroller clock to achieve synchronization of the Real Time Clock, such that the synchronization error, despite the coarse granularity of the RTC, is in the microsecond range.
Abstract: A specific application for wastewater monitoring and actuation, called CSOnet, deployed city-wide in a mid-sized US city, South Bend, Indiana, posed some challenges to a time synchronization protocol. The nodes in CSOnet have a low duty cycle (2% in current deployment) and use an external clock, called the Real Time Clock (RTC), for triggering the sleep and the wake-up. The RTC has a very low drift (2 ppm) over the wide range of temperature fluctuations that the CSOnet nodes have, while having a low power consumption (0.66 mW). However, these clocks will still have to be synchronized occasionally during the long lifetime of the CSOnet nodes and this was the problem we confronted with our time synchronization protocol. The RTC to fit within the power and the cost constraints makes the tradeoff of having a coarse time granularity of only 1 second. Therefore, it is not sufficient to synchronize the RTC itself---that would mean a synchronization error of up to 1 second would be possible even with a perfect synchronization protocol. This would be unacceptable for the low duty cycle operation---each node stays awake for only 6 seconds in a 5 minute time window. This was the first of three challenges for time synchronization. The second challenge is that the synchronization has to be extremely fast since ideally the entire network should be synchronized during the 6 second wake-up period. Third, the long range radio used for the metropolitan-scale CSOnet does not make its radio stack software available, as is seen with several other radios for long-range ISM band RF communication. Therefore, a common technique for time synchronization---MAC layer time-stamping---cannot be used. Additionally, MAC layer time-stamping is known to be problematic with high speed radios (even at 250 kbps).We solve these challenges and design a synchronization protocol called Harmonia. It has three design innovations. First, it uses the finely granular microcontroller clock to achieve synchronization of the RTC, such that the synchronization error, despite the coarse granularity of the RTC, is in the microsecond range. Second, Harmonia pipelines the synchronization messages through the network resulting in fast synchronization of the entire network. Third, Harmonia provides failure handling for transient node and link failures such that the network is not overburdened with synchronization messages and the recovery is done locally. We evaluate Harmonia on CSOnet nodes and compare the two metrics of synchronization error and synchronization speed with FTSP. It performs slightly worse in the former and significantly better in the latter.

Patent
02 Jun 2009
TL;DR: In this article, the relative positions or phasing of advancing substrates and/or components in absorbent article converting lines are controlled using a clock synchronized control and instrumentation network.
Abstract: The present disclosure relates to systems and processes for controlling the relative positions or phasing of advancing substrates and/or components in absorbent article converting lines. The systems and methods may utilize feedback from technologies, such as vision systems, sensors, remote input and output stations, and controllers with synchronized embedded clocks to accurately correlate component placement detections and placement control on an absorbent article converting process. The systems and methods may accurately apply the use of precision clock synchronization for both instrumentation and control system devices on a non-deterministic communications network. In turn, the clock synchronized control and instrumentation network may be used to control the substrate position. As such, the controller may be programmed to the relative positions of substrates and components along the converting line without having to account for undeterminable delays.

Journal ArticleDOI
TL;DR: This work proposes a novel and efficient time-space localization algorithm for wireless sensor networks which it refers to as the Lightness algorithm and indicates clearly that the proposed scheme is scalable while keeping a low synchronization error and a low communication overhead.

Book ChapterDOI
08 Dec 2009
TL;DR: A brief overview of previous work in synchronizing clocks in distributed systems is given, followed by a discussion of open clock synchronization problems in theory and practice.
Abstract: Clock synchronization is one of the most basic building blocks for many applications in computer science and engineering. The purpose of clock synchronization is to provide the constituent parts of a distributed system with a common notion of time. While the problem of synchronizing clocks in distributed systems has already received considerable attention from researchers and practitioners alike, we believe that there are many fascinating problems that remain unsolved. In this paper, we give a brief overview of previous work in this area, followed by a discussion of open clock synchronization problems in theory and practice.

Patent
18 May 2009
TL;DR: In this paper, a frequency-dividing circuit that generates a plurality of divided clocks LCLKE and LCLKO of which the phases differ each other based on an internal clock LCLK was proposed.
Abstract: A latency counter includes: a frequency-dividing circuit that generates a plurality of divided clocks LCLKE and LCLKO of which the phases differ each other based on an internal clock LCLK; and frequency-divided counter circuits each of which counts a latency of an internal command based on the corresponding divided clocks LCLKE and LCLKO. Thus, the counting of the latency is performed based not on the internal clock LCLK itself but on the divided clocks LCLKE and LCLKO obtained by frequency-dividing the internal clock LCLK. Thus, even when a frequency of the internal clock LCLK is high, an operation margin can be sufficiently secured.

Journal ArticleDOI
TL;DR: Two software-based mechanisms for reducing the impact of CAN bit stuffing are considered and compared and it is concluded that the SBS technique is more effective in the reduction of message-length variations, but at the cost of an increase in CPU time and memory overheads and a reduction in the available data bandwidth.

Patent
17 Nov 2009
TL;DR: In this article, a phase mixer receives two intermediate clocks and generates the final output clock having a phase between the phases of the intermediate clocks, and the output clock from the phase mixer is time synchronized with the input reference clock and does not exhibit any jitter or noise even at high clock frequency inputs.
Abstract: A clock synchronization system and method avoids output clock jitter at high frequencies and also achieves a smooth phase transition at the boundary of the coarse and fine delays. The system may use a single coarse delay line configured to generate two intermediate clocks from the input reference clock and having a fixed phase difference therebetween. The coarse delay line may have a hierarchical or a non-hierarchical structure. A phase mixer receives these two intermediate clocks and generates the final output clock having a phase between the phases of the intermediate clocks. The coarse shifting in the delay line at high clock frequencies does not affect the phase relationship between the intermediate clocks fed into the phase mixer. The output clock from the phase mixer is time synchronized with the input reference clock and does not exhibit any jitter or noise even at high clock frequency inputs. Because of the rules governing abstracts, this abstract should not be used to construe the claims.

Journal ArticleDOI
TL;DR: In this paper, the photon counting detector package was designed to synchronize the ground and space based clocks by laser pulses for the Laser Time Transfer (LTT) project, and the results of the pre-launch tests along with the first in-flight operation results were presented.
Abstract: For the project of the Laser Time Transfer (LTT) we have developed the photon counting detector package designed to synchronize the ground and space based clocks by laser pulses. The device flying modules were constructed at the Shanghai Observatory, China, and they were tested for operation in a space environment in 2006. Numerous ground indoor tests of time synchronization of the rubidium clock were performed before the satellite launch. The device was launched onboard the Chinese experimental navigation satellite Compass-M1 on 13 April 2007 to a high altitude orbit of 21,500 km. The world's first space clock synchronization by means of laser pulses was carried out from the satellite laser station Changchun, Jilin, China, 1 August 2007. The results of the space born detector package pre-launch tests along with the first in-flight operation results are presented.