scispace - formally typeset
Search or ask a question

Showing papers on "Clock synchronization published in 2013"


Journal ArticleDOI
TL;DR: The proposed approach, called asynchronous channel hopping (ACH), has two noteworthy features: any pair of CH nodes are able to rendezvous on every channel so that the rendezvous process is robust to disruptions caused by the appearance of PU signals; and an upper bounded time-to-rendezvous (TTR) is guaranteed between the two nodes even if their clocks are asynchronous.
Abstract: In decentralized cognitive radio (CR) networks, establishing a link between a pair of communicating nodes requires that the radios "rendezvous” in a common channel-such a channel is called a rendezvous channel-to exchange control information. When unlicensed (secondary) users opportunistically share spectrum with licensed (primary or incumbent) users, a given rendezvous channel may become unavailable due to the appearance of licensed user signals. Ideally, every node pair should be able to rendezvous in every available channel (i.e., maximize the rendezvous diversity) so that the possibility of rendezvous failures is minimized. Channel hopping (CH) protocols have been proposed previously for establishing pairwise rendezvous. Some of them enable pairwise rendezvous over all channels but require global clock synchronization, which may be very difficult to achieve in decentralized networks. Maximizing the pairwise rendezvous diversity in decentralized CR networks is a very challenging problem. In this paper, we present a systematic approach for designing CH protocols that maximize the rendezvous diversity of any node pair in decentralized CR networks. The resulting protocols are resistant to rendezvous failures caused by the appearance of primary user (PU) signals and do not require clock synchronization. The proposed approach, called asynchronous channel hopping (ACH), has two noteworthy features: 1) any pair of CH nodes are able to rendezvous on every channel so that the rendezvous process is robust to disruptions caused by the appearance of PU signals; and 2) an upper bounded time-to-rendezvous (TTR) is guaranteed between the two nodes even if their clocks are asynchronous. We propose two optimal ACH designs that maximize the rendezvous diversity between any pair of nodes and show their rendezvous performance via analytical and simulation results.

152 citations


Journal ArticleDOI
TL;DR: In this article, the delay efficiency of asynchronous WSN MAC protocols is studied from a delay efficiency perspective, and their latency is investigated based on their delay-efficient duty-cycling schemes.
Abstract: Energy-efficiency is the main concern in most Wireless Sensor Network (WSN) applications. For this purpose, current WSN MAC (Medium Access Control) protocols use duty-cycling schemes, where they consciously switch a node's radio between active and sleep modes. However, a node needs to be aware of (or at least use some mechanism to meet) its neighbors' sleep/active schedules, since messages cannot be exchanged unless both the transmitter and the receiver are awake. Asynchronous duty-cycling schemes have the advantage over synchronous ones to eliminating the need of clock synchronization, and to be conceptually distributed and more dynamic. However, the communicating nodes are prone to spend more time waiting for the active period of each other, which inevitably influences the one-hop delay, and consequently the cumulative end-to-end delay. This paper reviews current asynchronous WSN MAC protocols. Its main contribution is to study these protocols from the delay efficiency perspective, and to investigate on their latency. The asynchronous protocols are divided into six categories: static wake-up preamble, adaptive wake-up preamble, collaborative schedule setting, collisions resolution, receiver-initiated, and anticipation-based. Several state-of-the-art protocols are described following the proposed taxonomy, with comprehensive discussions and comparisons with respect to their latency.

102 citations


Journal ArticleDOI
TL;DR: A Recursive Time Synchronization Protocol (RTSP) which accurately synchronizes all the nodes in a network to a global clock using multi-hop architecture in an energy-efficient way and performs even better in a clustered network.
Abstract: Wireless sensor networks need accurate time synchronization for data consistency and coordination. Although the existing algorithms for time synchronization offer very good accuracy, their energy consumption is high, and distant nodes are poorly synchronized. We propose a Recursive Time Synchronization Protocol (RTSP) which accurately synchronizes all the nodes in a network to a global clock using multi-hop architecture in an energy-efficient way. It achieves better performance due to the MAC-layer time-stamping based on Start of Frame Delimiter byte, infrequent broadcasts by a dynamically elected reference node, compensation of the propagation delay and adjustment of the timestamps at each hop, estimation of the relative skew and offset using least square linear regression on two data points (2LR), adaptive re-synchronization interval, aggregation of the synchronization requests, and energy awareness. A detailed analysis of the sources of errors is also provided. Simulation results show that the RTSP can achieve an average accuracy of 0.3 microseconds in a large multi-hop flat network while using five-times lesser energy than that of FTSP in the long run and performs even better in a clustered network where it can achieve an average accuracy of 0.23 microseconds while using seven-times lesser energy.

90 citations


Journal ArticleDOI
TL;DR: This paper focuses on network-based master-slave synchronization for delayed neural networks through a remote controller by combining a generalized Jensen integral inequality and a convex combination technique to ensure the mean-square global exponential synchronization of state trajectories for the master system and the slave system.
Abstract: This paper focuses on network-based master-slave synchronization for delayed neural networks through a remote controller. The insertion of communication networks in a master-slave synchronization scheme inevitably induces network delays, packet dropouts and stochastic fluctuations. The data packets may be received with a different temporal order from that they are sent due to the fact that the network-induced delay is time-varying. A logic data processor and a logic zero order hold are proposed in the master-slave synchronization framework. Then an error system for the master system and the slave system is formulated. By combining a generalized Jensen integral inequality and a convex combination technique, some synchronization criteria are derived to ensure the mean-square global exponential synchronization of state trajectories for the master system and the slave system. The controller gain matrix is obtained by solving a minimization problem in terms of linear matrix inequalities using a cone complementary technique. As a special case in which only network-induced delays and packet dropouts are occurred in the signal transmission channels, some results are also presented. Finally, two illustrative examples are provided to show the effectiveness and applicability of the proposed scheme.

80 citations


Journal ArticleDOI
01 Dec 2013
TL;DR: Two fully distributed protocols based on algorithms of multi-agent consensus based on an event-based approach studied in the area of networked control are proposed and it is shown that these protocols can achieve clock synchronization with less communication at a precision determined by the communication scheme.
Abstract: In various applications of wireless sensor networks, a high level of accuracy is required in time synchronization to maintain time consistency of sensing data as well as to reduce the idle listening times. In addition, to cope with node failures, robustness to changes in network topologies is important. In this paper, we propose two fully distributed protocols based on algorithms of multi-agent consensus. They employ an event-based approach studied in the area of networked control to enhance communication efficiency. We analyze their convergence properties and show that these protocols can achieve clock synchronization with less communication at a precision determined by the communication scheme.

74 citations


Journal ArticleDOI
TL;DR: In this paper, the authors proposed a fully distributed algorithm for joint clock skew and offset estimation in wireless sensor networks based on belief propagation, which does not require any centralized information processing or coordination, and is scalable with network size.
Abstract: In this paper, we propose a fully distributed algorithm for joint clock skew and offset estimation in wireless sensor networks based on belief propagation. In the proposed algorithm, each node can estimate its clock skew and offset in a completely distributed and asynchronous way: some nodes may update their estimates more frequently than others using outdated message from neighboring nodes. In addition, the proposed algorithm is robust to random packet loss. Such algorithm does not require any centralized information processing or coordination, and is scalable with network size. The proposed algorithm represents a unified framework that encompasses both classes of synchronous and asynchronous algorithms for network-wide clock synchronization. It is shown analytically that the proposed asynchronous algorithm converges to the optimal estimates with estimation mean-square-error at each node approaching the centralized Cramer-Rao bound under any network topology. Simulation results further show that {the convergence speed is faster than that corresponding to a synchronous algorithm.

67 citations


Journal ArticleDOI
TL;DR: Simulation results show that the proposed suboptimal estimators can attain the CRLB for sufficiently high signal-to-noise ratios and a refining step is proposed to improve the estimation accuracy.
Abstract: This paper studies the positioning problem of a single target node based on time-difference-of-arrival (TDOA) measurements in the presence of clock imperfections. Employing an affine model for the behaviour of a local clock, it is observed that TDOA based approaches suffer from a parameter of the model, called the clock skew. Modeling the clock skew as a nuisance parameter, this paper investigates joint clock skew and position estimation. The maximum likelihood estimator (MLE) is derived for this problem, which is highly nonconvex and difficult to solve. To avoid the difficulty in solving the MLE, we employ suitable approximations and relaxations and propose two suboptimal estimators based on semidefinite programming and linear estimation. To further improve the estimation accuracy, we also propose a refining step. In addition, the Cramer-Rao lower bound (CRLB) is derived for this problem as a benchmark. Simulation results show that the proposed suboptimal estimators can attain the CRLB for sufficiently high signal-to-noise ratios.

66 citations


Book
22 Jul 2013
TL;DR: This paper introduces the fundamental concepts of fault tolerant computing and key topics covered are voting, fault detection, clock synchronization, Byzantine Agreement, diagnosis, and reliability analysis.
Abstract: This paper introduces the fundamental concepts of fault tolerant computing. Key topics covered are voting, fault detection, clock synchronization, Byzantine Agreement, diagnosis, and reliability analysis. Low level mechanisms such as Hamming codes or low level communications protocols are not covered. The paper is tutorial in nature and does not cover any topic in detail. The focus is on rationale and approach rather than detailed exposition.

66 citations


Journal ArticleDOI
TL;DR: A fully asynchronous network with one sensor and M anchors (nodes with known locations) is considered and the proposed estimator is shown to be asymptotically efficient, meets the CRLB, and also performs better than the available clock synchronization algorithms.
Abstract: A fully asynchronous network with one sensor and M anchors (nodes with known locations) is considered in this letter. We propose a novel asymmetrical time-stamping and passive listening (ATPL) protocol for joint clock synchronization and ranging. The ATPL protocol exploits broadcast to not only reduce the number of active transmissions between the nodes, but also to obtain more information. This is used in a simple estimator based on least-squares (LS) to jointly estimate all the unknown clock-skews, clock-offsets, and pairwise distances of the sensor to each anchor. The Cramer-Rao lower bound (CRLB) is derived for the considered problem. The proposed estimator is shown to be asymptotically efficient, meets the CRLB, and also performs better than the available clock synchronization algorithms.

57 citations


Patent
08 Feb 2013
TL;DR: In this article, a clock synchronization module is configured to determine a plurality of path time data sets corresponding to the plurality of different communication paths based on signals received from the master clock via the plurality.
Abstract: In a network device communicatively coupled to a master clock via a plurality of different communication paths, a clock synchronization module is configured to determine a plurality of path time data sets corresponding to the plurality of different communication paths based on signals received from the master clock via the plurality of different communication paths between the network device and the master clock. A clock module is configured to determine a time of day as a function of the plurality of path time data sets.

55 citations


Journal ArticleDOI
TL;DR: In this article, the authors investigated the properties of a decentralized consensus algorithm for a network of continuous-time integrators subject to unknown-but-bounded time-varying disturbances.

Book
29 Jul 2013
TL;DR: The Reliable Optical Bus (ROBUS) is the core communication system of the Scalable Processor-Independent Design for Enhanced Reliability (SPIDER), a general-purpose fault-tolerant integrated modular architecture under development at NASA Langley Research Center.
Abstract: The Reliable Optical Bus (ROBUS) is the core communication system of the Scalable Processor-Independent Design for Enhanced Reliability (SPIDER), a general-purpose fault-tolerant integrated modular architecture currently under development at NASA Langley Research Center. The ROBUS is a time-division multiple access (TDMA) broadcast communication system with medium access control by means of time-indexed communication schedule. ROBUS-2 is a developmental version of the ROBUS providing guaranteed fault-tolerant services to the attached processing elements (PEs), in the presence of a bounded number of faults. These services include message broadcast (Byzantine Agreement), dynamic communication schedule update, clock synchronization, and distributed diagnosis (group membership). The ROBUS also features fault-tolerant startup and restart capabilities. ROBUS-2 is tolerant to internal as well as PE faults, and incorporates a dynamic self-reconfiguration capability driven by the internal diagnostic system. This version of the ROBUS is intended for laboratory experimentation and demonstrations of the capability to reintegrate failed nodes, dynamically update the communication schedule, and tolerate and recover from correlated transient faults.

Patent
22 May 2013
TL;DR: In this article, a data processing node includes a local clock, a slave port and a time synchronization module, which is coupled to the local clock and the slave port to collect parent-centric time synchronization information and synchronize operation of a central processing unit (CPU) structure of the node with the fabric time.
Abstract: A data processing node includes a local clock, a slave port and a time synchronization module. The slave port enables the data processing node to be connected through a node interconnect structure to a parent node having time-based functionality thereof that is operating in accordance with a fabric time of the node interconnect structure. The time synchronization module is coupled to the local clock and the slave port. The time synchronization module is configured for engaging in a time synchronization message exchange sequence with a node connected to the slave port thereof to collect parent-centric time synchronization information and synchronizing operation of a central processing unit (CPU) structure of the data processing node with the fabric time using the parent-centric time synchronization information.

Proceedings ArticleDOI
01 Jan 2013
TL;DR: Novel methods for distance estimation using only one-way transmission and requiring no clock synchronization between nanomachines are proposed and the noise of diffusion channel due to random walk of molecules is investigated and methods to effectively improve the estimation accuracy are proposed.
Abstract: The advance in nanotechnology has enabled the fabrication of nanomachines for health applications. Recently, molecular communication has become a promising communication paradigm that allows nanomachines to exchange information by using messenger molecules in fluid environments. To enable molecular communications, the knowledge of distance between nanomachines is critical since the distance affects both the performance and the efficiency of molecular communication. However, works on molecular communication either assume the distance is known or the distance estimation is based on the assumption of clock synchronization between nanomachines. In this paper, we propose novel methods for distance estimation using only one-way transmission and requiring no clock synchronization between nanomachines. The noise of diffusion channel due to random walk of molecules is investigated and methods to effectively improve the estimation accuracy are proposed.

Patent
05 Aug 2013
TL;DR: In this article, a distributed wireless monitoring system with a cloud server and low power remote sensors includes data encoding/compression at sensors to reduce power use from transmission and storage, event activated operation/data logging triggered by configurable thresholds, remote configuration via the cloud server of event triggering thresholds and correlation templates.
Abstract: A distributed wireless monitoring system with a cloud server and low-power remote sensors includes data encoding/compression at sensors to reduce power use from transmission and storage, event activated operation/data logging triggered by configurable thresholds, remote configuration via the cloud server of event triggering thresholds and correlation templates, distributed processing capabilities, and sensor clock synchronization from a network time service.

Journal Article
TL;DR: This paper reviews the use of time, clocks, and clock synchronization protocols in cyber-physical systems (CPS) and describes design and execution environments of CPS and specialized hardware such as predictable timing architectures where time plays a key role.
Abstract: This paper reviews the use of time, clocks, and clock synchronization protocols in cyber-physical systems (CPS). Recent advances in the area of timing suggest avenues of research and potential new application areas. We discuss how introducing timestamps and clocks can help overcome issues such as latency, jitter, and determining correct execution order. Furthermore, we show how system complexity can be reduced and distribution as well as parallelism can be done deterministically. We also point to recent work in raising time to first class citizen status in modeling and implementation. In particular, we describe design and execution environments of CPS and specialized hardware such as predictable timing architectures where time plays a key role.

Journal ArticleDOI
TL;DR: By designing the phase response function, the synchronization rate of weakly connected pulse-coupled oscillators (PCOs) is increased even under a fixed transmission power, and the new strategy reduces energy consumption in the clock synchronization.
Abstract: This brief addresses the synchronization rate of weakly connected pulse-coupled oscillators (PCOs). We prove that besides the coupling strength, the phase response function is also a determinant of the synchronization rate. Inspired by the result, we propose to increase the synchronization rate of PCOs by designing the phase response function. This has important significance in the PCO-based clock synchronization of wireless networks. By designing the phase response function, the synchronization rate is increased even under a fixed transmission power. Given that the energy consumption in synchronization is determined by the product of synchronization time and transmission power, the new strategy reduces energy consumption in the clock synchronization. QualNet experiments confirm the theoretical results.

Journal ArticleDOI
TL;DR: In the proposed algorithm, a proportional-integral (PI) clock servo is used to achieve the frequency compensation and a Kalman filter is designed based on a state-variable model, which is built for the PI controller-tuned slave clock to reduce the growth rate of synchronization error.
Abstract: In real-time network-based systems with long linear paths, the growth rate of time synchronization error is the major barrier to the scalability of systems even if a transparent clock mechanism of IEEE 1588 is used. This paper is devoted to designing a new time synchronization method for such systems. In the proposed algorithm, a proportional-integral (PI) clock servo is used to achieve the frequency compensation. In order to reduce the growth rate of synchronization error due to the quantization error in timestamping, a Kalman filter is designed based on a state-variable model, which is built for the PI controller-tuned slave clock. In addition, the quantization effect is analyzed and the variance of quantization error is quantitatively estimated for each slave node. Experiments are performed to validate its effectiveness and demonstrate that the peak-to-peak jitter is measured to be only 59.37 ns after four hops, and the growth rate of synchronization error can also be significantly reduced by the presented synchronization method. This indicates that the maximum number of networked nodes can be correspondingly increased.

Journal ArticleDOI
01 Nov 2013
TL;DR: Implementation and tests of the protocol on real sensor motes confirm microsecond level precision even in multi-hop scenarios, and high stability (long lifetime) of the skew/offset model.
Abstract: The challenging problem of time synchronization in wireless sensor networks is considered in this paper, where a new distributed protocol is proposed for both local and multi-hop synchronization. The receiver-to-receiver paradigm is used, which has the advantage of reducing the time-critical-path and thus improving the accuracy compared to common sender-to-receiver protocols. The protocol is fully distributed and does not rely on any fixed reference. The role of the reference is divided amongst all nodes, while timestamp exchange is integrated with synchronization signals (beacons). This enables fast acquisition of timestamps that are used as samples to estimate relative synchronization parameters. An appropriate model is used to derive maximum likelihood estimators (MLE) and the Cramer-Rao lower bounds (CRLB) for both the offset-only, and the joint offset/skew estimation. The model permits to directly estimating relative parameters without using or referring to a reference' clock. The proposed protocol is extended to multi-hop environment, where local synchronization is performed proactively and the resulted estimates are transferred to the intermediate/end-point nodes on-demand, i.e. as soon as a multi-hop communication that needs synchronization is initiated. On-demand synchronization is targeted for multi-hop synchronization instead of the always-on global synchronization model, which avoids periodic and continuous propagation of synchronization signals beyond a single-hop. Extension of local MLE estimators is proposed to derive relative multi-hop estimators. The protocol is compared by simulation to some state-of-the-art protocols, and results show much faster convergence of the proposed protocol. The difference has been on the order of more than twice compared to CS-MNS, more than ten times compared to RBS, and more than twenty times compared to TPSN. Results also show scalability of the proposed protocol concerning the multi-hop synchronization. The error does not exceed few microseconds for as much as 10 hops in R4Syn, while in CS-MNS, and TPSN, it reaches few tens of microseconds. Implementation and tests of the protocol on real sensor motes confirm microsecond level precision even in multi-hop scenarios, and high stability (long lifetime) of the skew/offset model.

Proceedings ArticleDOI
21 May 2013
TL;DR: The modeling of the time synchronization process in the IEEE 1588 is described and the impact of delay asymmetry is analyzed, which can be exploited by the adversary to disrupt the time synchronized process.
Abstract: Real-time measurement and control are essential in electrical power grid systems and the timing requirements (such as time synchronization) on the measurement and control of power grid are critical. The IEEE 1588, as a precision clock synchronization protocol, was proposed to guarantee the precise clock synchronization in nanoseconds and has broad applications in power grid systems. In this paper, we first describe the modeling of the time synchronization process in the IEEE 1588 and analyze the impact of delay asymmetry, which can be exploited by the adversary to disrupt the time synchronization process. We then investigate a feasible attack workflow based on the attack model and discuss discuss countermeasures to defend against such an attack. We conduct experiments to validate the effectiveness of the investigated attack. Our experimental data matches with our theoretical findings well and show that our investigated attack can effectively disrupt the time synchronization process in the IEEE 1588.

Journal ArticleDOI
TL;DR: The schedulability model that will enable an automatic Schedulability analysis of a distributed application using switched networks and clock synchronization mechanisms is introduced.

Journal ArticleDOI
TL;DR: This work considers the joint estimation of an unknown node's location and clock parameters by incorporating the effect of imperfections in node oscillators, which render a time varying nature to the clock parameters.
Abstract: The problems of node localization and clock synchronization in wireless sensor networks are naturally tied from a statistical signal processing perspective. In this work, we consider the joint estimation of an unknown node's location and clock parameters by incorporating the effect of imperfections in node oscillators, which render a time varying nature to the clock parameters. The data exchange mechanism is based on a two-way message exchange with anchor nodes. In order to alleviate the computational complexity associated with the optimal maximum a-posteriori estimator, two iterative approaches are proposed as simpler alternatives. The first approach utilizes an Expectation-Maximization (EM) based algorithm which iteratively estimates the clock parameters and the location of the unknown node. The EM algorithm is further simplified by a non-linear processing of the data to obtain a closed form solution of the location estimation problem using least squares (LS). The performance of the estimation algorithms is benchmarked by deriving the Hybrid Cramer-Rao lower bound (HCRB) on the mean square error (MSE) of the estimators. The theoretical findings are corroborated by simulation studies which reveal that the LS estimator closely matches the performance of the EM algorithm for small time of arrival measurement noise, and is well suited for implementation in low cost sensor networks.

Proceedings ArticleDOI
20 Mar 2013
TL;DR: Numerical results show that synchronization among the slave nodes can be achieved quickly and that the resulting steady-state accuracy can be sufficient to support distributed transmission techniques requiring carrier phase alignment, e.g. distributed beamforming.
Abstract: This paper describes an approach to master/slave network synchronization based on bidirectional message exchanges without the use of timestamps. Rather than the usual approach of exchanging digital timestamps through a dedicated synchronization protocol, an approach is described in which synchronization information is conveyed implicitly at the physical layer through the timing of the master node?s responses to the slave nodes. This approach can reduce overhead and allow the embedding of synchronization functions in existing network traffic. A timestamp-free synchronization protocol is described and its performance is quantified in the presence of delay estimation error and stochastic local oscillator dynamics. A filtering framework is also developed to allow each slave node to accurately infer and correct local clock drifts from multiple noisy clock offset estimates. Based on fundamental delay estimation bounds for narrowband signals, numerical results show that synchronization among the slave nodes can be achieved quickly and that the resulting steady-state accuracy can be sufficient to support distributed transmission techniques requiring carrier phase alignment, e.g. distributed beamforming.

Journal ArticleDOI
TL;DR: A very simple hardware implementation of an IR-UWB radio is exploited for realizing an accurate synchronization system for wireless sensors, designed for providing accurate timestamping of the packet time of arrival to an adder-based tunable clock, which tracks the network time reference.
Abstract: Wireless sensor networks are becoming widely diffused because of the flexibility and scalability they offer. However, distributed measurements are significant only if the readout is coupled to time information. For this reason, network-wide time synchronization is the main concern. The objective of this paper is to exploit a very simple hardware implementation of an IR-UWB radio for realizing an accurate synchronization system for wireless sensors. The proposed solution relies on commercial-off-the-shelf discrete electronic components (rather than on specialized transceivers). It is designed for providing accurate timestamping of the packet time of arrival (TOA) to an adder-based tunable clock, which tracks the network time reference. The comprehensive set of experimental results based on prototypes, shows a TOA detection error with a standard deviation well below 1 ns. On the other hand, in the FPGA-based prototype, the synchronization performance reaches an overall synchronization error of few nanoseconds. Finally, in order to highlight the tradeoff between timestamping accuracy, clock stability, and synchronization performance, some additional simulations have been carried out: a synchronization error in the order of 1 ns is possible, if good local oscillator sources are available in the nodes and if the adjustable clock has a sufficient resolution.

Journal ArticleDOI
TL;DR: Through several simulations, it can be seen that this protocol can reduce clock error to ±10 ticks, adapt to dynamic topology, and be suitable to large-scale applications.
Abstract: This paper proposes a novel distributed time synchronization scheme for wireless sensor networks, which uses max consensus to compensate for clock drift and average consensus to compensate for clock offset. The main idea is to achieve a global synchronization just using local information. The proposed protocol has the advantage of being totally distributed, asynchronous, and robust to packet drop and sensor node failure. Finally, the protocol has been implemented in MATLAB. Through several simulations, we can see that this protocol can reduce clock error to ±10 ticks, adapt to dynamic topology, and be suitable to large-scale applications.

Journal ArticleDOI
TL;DR: In this paper, the features of IEEE 802.15.4a CSS signals for low-level timestamping are analyzed both theoretically and through simulations under the effect of various uncertainty contributions and an effective solution for frame timestamping at the symbol level is proposed.
Abstract: Accurate positioning and distributed time synchronization for short-range personal area networks (PAN) are expected to boost the impact of mobile wireless systems in a variety of applications. At the moment, wireless ranging and time synchronization are often addressed independently. The two main underlying reasons are: 1) the different accuracy requirements for time-of-arrival measurements and local clock correction and 2) the intrinsic difficulty to timestamp the received radio frames with uncertainty lower than some nanoseconds due to the joint effect of clock resolution, wideband noise, clock frequency offsets, and multipath propagation. Of course, if the influence of such phenomena were minimized, time synchronization could benefit from accurate one-way ranging and vice versa. One of the most recent and promising communication schemes to reach this goal is chirp spread spectrum (CSS) modulation. Indeed, this is also one of the alternative physical (PHY) layers for PANs recommended in the amendment IEEE 802.15.4a-2007, recently included in the standard IEEE 802.15.4-2011. In this paper, the features of IEEE 802.15.4a CSS signals for low-level timestamping are analyzed both theoretically and through simulations under the effect of various uncertainty contributions. Accordingly, an effective solution for frame timestamping at the symbol level is proposed. Some experimental results based on a software defined radio implementation of the IEEE 802.15.4a PHY layer confirm that CSS can be successfully adopted both for time synchronization and ranging.

Journal ArticleDOI
TL;DR: This work is able to distinguish between smartphones within minutes, whenever their clock drifts apart with around one part-per-million, by using innocuous ICMP timestamps, and compute the clock skew of the device with linear programming techniques, a previously known methodology.
Abstract: Fingerprinting mobile devices over a WiFi channel has both positive and negative security implications: on one hand it allows the establishment of physically secure identifications by exploiting physically unclonable characteristics, on the other it jeopardizes privacy by mediating remote identification without user awareness. We are able to distinguish between smartphones within minutes, whenever their clock drifts apart with around one part-per-million, by using innocuous ICMP timestamps. To achieve this, we compute the clock skew of the device with linear programming techniques, a previously known methodology. Our experiments are done on some of the top Android devices on the market and the results show that remote identification is feasible even in the presence of: discontinued connections, clock synchronization, multiple hops or poor network conditions. Since blocking ICMP timestamps is not available by default on Android platforms, as well as editing the drivers is not within reach for average users, it seems that this may be indeed a privacy hole for smartphone users (and may be even worse for the closed-source platforms).

Journal ArticleDOI
01 Jun 2013
TL;DR: An energy efficiency distributed time synchronization algorithm (called ''E^2DTS'') for those underwater acoustic node mobility networks (UAMSNs) that achieves high level time synchronization precision with minimal energy cost.
Abstract: Time synchronization plays an important role in wireless sensor network applications and energy conservation. In this paper, we focus on the need of time synchronization in underwater acoustic mobile sensor networks (UAMSNs). Several time synchronization algorithms have been carried out in this issue. But most of them are proposed for RF-based wireless sensor networks, which assume that the propagation delay is negligible. In UAMSNs, the assumption about rapid communication is incorrect because the communication is primarily via acoustic channel, so the propagation speed is much slower than RF. Furthermore, the propagation delay in underwater environment is time-varying due to the nodes' mobility. We present an energy efficiency distributed time synchronization algorithm (called ''E^2DTS'') for those underwater acoustic node mobility networks. In E^2DTS, both clock skew and offset are estimated. We investigate the relationship between time-varying propagation delay and nodes mobility, and then estimate the clock skew. At last skew-corrected nodes send local timestamp to beacon node to estimate its clock offset. Through analysis and simulation, we show that it achieves high level time synchronization precision with minimal energy cost.

Proceedings ArticleDOI
01 Oct 2013
TL;DR: A visible light communication (VLC)-based indoor positioning system (IPS) and algorithm using dual-tone multi-frequency (DTMF) technique that does not need clock synchronization to separate signals from different time slots is proposed.
Abstract: In this paper, we propose a visible light communication (VLC)-based indoor positioning system (IPS) and algorithm using dual-tone multi-frequency (DTMF) technique. Compared with the existing VLC-based IPS algorithms, our algorithm does not need clock synchronization to separate signals from different time slots. With simple procedures, the coarse position or specific position of the mobile can be obtained by analyzing both the time and frequency domain of the received signal. The simulation result shows that the average positioning error is 18 mm at the signal-to-noise ratio (SNR) of 10 dB.

Patent
11 Sep 2013
TL;DR: In this paper, the authors proposed a clock recovery mechanism including a phase-locked loop (PLL) with a PDV compensation feature built-in, which can enable a slave clock to recover the master clock to a higher quality as if the communication path between master and slave is free of PDV.
Abstract: This invention relates to methods and devices for frequency distribution based on, for example, the IEEE 1588 Precision Time Protocol (PTP). Packet delay variation (PDV) is a direct contributor to the noise in the recovered clock and various techniques have been proposed to mitigate its effects. Embodiments of the invention provide a mechanism to directly measure and remove PDV effects in the clock recovery mechanism at a slave clock. One particular embodiment provides a clock recovery mechanism including a phase-locked loop (PLL) with a PDV compensation feature built-in. An aim of the invention is to enable a slave clock to recover the master clock to a higher quality as if the communication path between master and slave is free of PDV. This technique may allow a packet network to provide clock synchronization services to the same level as time division multiplexing (TDM) networks and Global Positioning System (GPS).