scispace - formally typeset
Search or ask a question

Showing papers on "CMOS published in 2014"


Journal ArticleDOI
TL;DR: This review aims at highlighting the chemical design and synthesis of single molecule devices as well as their electrical and structural characterization, including a historical overview and the developments during the last 5 years.
Abstract: The use of single molecules in electronics represents the next limit of miniaturisation of electronic devices, which would enable us to continue the trend of aggressive downscaling of silicon-based electronic devices. More significantly, the fabrication, understanding and control of fully functional circuits at the single-molecule level could also open up the possibility of using molecules as devices with novel, not-foreseen functionalities beyond complementary metal-oxide semiconductor technology (CMOS). This review aims at highlighting the chemical design and synthesis of single molecule devices as well as their electrical and structural characterization, including a historical overview and the developments during the last 5 years. We discuss experimental techniques for fabrication of single-molecule junctions, the potential application of single-molecule junctions as molecular switches, and general physical phenomena in single-molecule electronic devices.

411 citations


Journal ArticleDOI
TL;DR: An analysis on the delay of the dynamic comparators will be presented and analytical expressions are derived so that designers can obtain an intuition about the main contributors to the comparator delay and fully explore the tradeoffs in dynamic comparator design.
Abstract: The need for ultra low-power, area efficient, and high speed analog-to-digital converters is pushing toward the use of dynamic regenerative comparators to maximize speed and power efficiency. In this paper, an analysis on the delay of the dynamic comparators will be presented and analytical expressions are derived. From the analytical expressions, designers can obtain an intuition about the main contributors to the comparator delay and fully explore the tradeoffs in dynamic comparator design. Based on the presented analysis, a new dynamic comparator is proposed, where the circuit of a conventional double-tail comparator is modified for low-power and fast operation even in small supply voltages. Without complicating the design and by adding few transistors, the positive feedback during the regeneration is strengthened, which results in remarkably reduced delay time. Post-layout simulation results in a 0.18- μm CMOS technology confirm the analysis results. It is shown that in the proposed dynamic comparator both the power consumption and delay time are significantly reduced. The maximum clock frequency of the proposed comparator can be increased to 2.5 and 1.1 GHz at supply voltages of 1.2 and 0.6 V, while consuming 1.4 mW and 153 μW, respectively. The standard deviation of the input-referred offset is 7.8 mV at 1.2 V supply.

318 citations


Journal ArticleDOI
TL;DR: In this paper, a design method for the co-design and integration of a CMOS rectifier and small loop antenna and a complementary MOS diode is proposed to improve the harvester's ability to store and hold energy over a long period of time during which there is insufficient power for rectification.
Abstract: In this paper, a design method for the co-design and integration of a CMOS rectifier and small loop antenna is described. In order to improve the sensitivity, the antenna-rectifier interface is analyzed as it plays a crucial role in the co-design optimization. Subsequently, a 5-stage cross-connected differential rectifier with a 7-bit binary-weighted capacitor bank is designed and fabricated in standard 90 nm CMOS technology. The rectifier is brought at resonance with a high-Q loop antenna by means of a control loop that compensates for any variation at the antenna-rectifier interface and passively boosts the antenna voltage to enhance the sensitivity. A complementary MOS diode is proposed to improve the harvester's ability to store and hold energy over a long period of time during which there is insufficient power for rectification. The chip is ESD protected and integrated on a compact loop antenna. Measurements in an anechoic chamber at 868 MHz demonstrate a -27 dBm sensitivity for 1 V output across a capacitive load and 27 meter range for a 1.78 W RF source in an office corridor. The end-to-end power conversion efficiency equals 40% at -17 dBm.

289 citations


Journal ArticleDOI
Dukju Ahn1, Songcheol Hong1
TL;DR: A wireless power transfer (WPT) system for powering implantable biomedical devices is configured to achieve high efficiency even with CMOS switches and printed-circuit-board pattern coils and to maintain constant output voltage against coupling and loading variations without any additional blocks.
Abstract: This paper presents a wireless power transfer (WPT) system for powering implantable biomedical devices; the system is configured to achieve high efficiency even with CMOS switches and printed-circuit-board pattern coils and to maintain constant output voltage against coupling and loading variations without any additional blocks. It is shown that the parallel-resonant transmitter (TX) and receiver (RX) topology is advantageous for high efficiency even with lossy but compact components. In addition, the output voltage of the topology is insensitive to coupling and/or loading variations if the operating frequency is automatically adjusted according to coupling variations. A parallel-resonant class-D oscillator TX is developed to track the optimum operating frequency for the constant output voltage. The operating distance for the constant output voltage is also extended using a novel resonator structure, which contains two resonating coils. These proposed schemes allow a compact, efficient, and robust wireless power system. Maximum power of 174 mW can be transmitted with 63% overall efficiency.

280 citations


Journal ArticleDOI
TL;DR: This paper presents a 210-GHz transceiver with OOK modulation in a 32-nm SOI CMOS process (fT/fmax= 250/320 GHz) and is the first demonstration of a fundamental frequency CMOS transceiver at the 200-GHz frequency range.
Abstract: This paper presents a 210-GHz transceiver with OOK modulation in a 32-nm SOI CMOS process (fT/fmax= 250/320 GHz). The transmitter (TX) employs a 2 × 2 spatial combining array consisting of a double-stacked cross-coupled voltage controlled oscillator (VCO) at 210 GHz with an on-off-keying (OOK) modulator, a power amplifier (PA) driver, a novel balun-based differential power distribution network, four PAs, and an on-chip 2 × 2 dipole antenna array. The noncoherent receiver (RX) utilizes a direct detection architecture consisting of an on-chip antenna, a low-noise amplifier (LNA), and a power detector. The VCO generates measured -13.5-dBm output power, and the PA shows a measured 15-dB gain and 4.6-dBm Psat. The LNA exhibits a measured in-band gain of 18 dB and minimum in-band noise figure (NF) of 11 dB. The TX achieves an EIRP of 5.13 dBm at 10 dB back-off from saturated power. It achieves an estimated EIRP of 15.2 dBm when the PAs are fully driven. This is the first demonstration of a fundamental frequency CMOS transceiver at the 200-GHz frequency range.

222 citations


Journal ArticleDOI
TL;DR: In this article, the authors describe the challenges and recent progress toward the development of III-V MOSFETs and heterostructure TFETs, from planar to nanowire devices.
Abstract: Conventional silicon transistor scaling is fast approaching its limits. An extension of the logic device roadmap to further improve future performance increases of integrated circuits is required to propel the electronics industry. Attention is turning to III–V compound semiconductors that are well positioned to replace silicon as the base material in logic switching devices. Their outstanding electron transport properties and the possibility to tune heterostructures provide tremendous opportunities to engineer novel nanometer-scale logic transistors. The scaling constraints require an evolution from planar III–V metal oxide semiconductor field-effect transistors (MOSFETs) toward transistor channels with a three-dimensional structure, such as nanowire FETs, to achieve future performance needs for complementary metal oxide semiconductor (CMOS) nodes beyond 10 nm. Further device innovations are required to increase energy efficiency. This could be addressed by tunnel FETs (TFETs), which rely on interband tunneling and thus require advanced III–V heterostructures for optimized performance. This article describes the challenges and recent progress toward the development of III–V MOSFETs and heterostructure TFETs—from planar to nanowire devices—integrated on a silicon platform to make these technologies suitable for future CMOS applications.

209 citations


Journal ArticleDOI
TL;DR: A microelectrode array system on a single CMOS die for in vitro recording and stimulation of neurons at high spatiotemporal resolution and good signal-to-noise ratio is presented.
Abstract: To advance our understanding of the functioning of neuronal ensembles, systems are needed to enable simultaneous recording from a large number of individual neurons at high spatiotemporal resolution and good signal-to-noise ratio. Moreover, stimulation capability is highly desirable for investigating, for example, plasticity and learning processes. Here, we present a microelectrode array (MEA) system on a single CMOS die for in vitro recording and stimulation. The system incorporates 26,400 platinum electrodes, fabricated by in-house post-processing, over a large sensing area (3.85 × 2.10 mm2) with sub-cellular spatial resolution (pitch of 17.5 μm). Owing to an area and power efficient implementation, we were able to integrate 1024 readout channels on chip to record extracellular signals from a user-specified selection of electrodes. These channels feature noise values of 2.4 μVrms in the action-potential band (300 Hz-10 kHz) and 5.4 μVrms in the local-field-potential band (1 Hz-300 Hz), and provide programmable gain (up to 78 dB) to accommodate various biological preparations. Amplified and filtered signals are digitized by 10 bit parallel single-slope ADCs at 20 kSamples/s. The system also includes 32 stimulation units, which can elicit neural spikes through either current or voltage pulses. The chip consumes only 75 mW in total, which obviates the need of active cooling even for sensitive cell cultures.

195 citations


Journal ArticleDOI
TL;DR: This work shows that the various switch-and-driver systems are capable of delivering nanosecond-scale reconfiguration times, low crosstalk, compact footprints, low power dissipations, and broad spectral bandwidths, and validate the dynamic reconfigurability of the switch fabric changing the state of the fabric using time slots with sub-100-ns durations.
Abstract: We demonstrate 4 × 4 and 8 × 8 switch fabrics in multistage topologies based on 2 × 2 Mach-Zehnder interferometer switching elements. These fabrics are integrated onto a single chip with digital CMOS logic, device drivers, thermo-optic phase tuners, and electro-optic phase modulators using IBM's 90 nm silicon integrated nanophotonics technology. We show that the various switch-and-driver systems are capable of delivering nanosecond-scale reconfiguration times, low crosstalk, compact footprints, low power dissipations, and broad spectral bandwidths. Moreover, we validate the dynamic reconfigurability of the switch fabric changing the state of the fabric using time slots with sub-100-ns durations. We further verify the integrity of high-speed data transfers under such dynamic operation. This chip-scale switching system technology may provide a compelling solution to replace some routing functionality currently implemented as bandwidth- and power-limited electronic switch chips in high-performance computing systems.

176 citations


Journal ArticleDOI
TL;DR: Several cost metrics specifically aimed at QCA circuits are studied and it is found that delay, the number of QCA logic gates, and the number and type of crossovers, are important metrics that should be considered when comparing QCA designs.
Abstract: Quantum-dot cellular automata (QCA) is potentially a very attractive alternative to CMOS for future digital designs. Circuit designs in QCA have been extensively studied. However, how to properly evaluate the QCA circuits has not been carefully considered. To date, metrics and area-delay cost functions directly mapped from CMOS technology have been used to compare QCA designs, which is inappropriate due to the differences between these two technologies. In this paper, several cost metrics specifically aimed at QCA circuits are studied. It is found that delay, the number of QCA logic gates, and the number and type of crossovers, are important metrics that should be considered when comparing QCA designs. A family of new cost functions for QCA circuits is proposed. As fundamental components in QCA computing arithmetic, QCA adders are reviewed and evaluated with the proposed cost functions. By taking the new cost metrics into account, previous best adders become unattractive and it has been shown that different optimization goals lead to different “best” adders.

167 citations


Proceedings ArticleDOI
06 Mar 2014
TL;DR: A small coarse ADC resolves the MSB bits and an aligned switching technique is used to reduce the big fine DAC switching energy, which results in FoM performance as low as 0.85fJ/conversion-step, about 3 times better than that of the state-of-the-art work.
Abstract: Analog-to-digital converters (ADC) are extensively used in wireless sensor networks and healthcare electronic devices to monitor long-term signal conditions. It is essential to prolong battery life in these applications by using an energy-efficient ADC. A successive-approximation register (SAR) architecture, mostly composed of digital circuits, can achieve low power under low supply voltages [1,2]. Power consumption can be decreased by using either an energy-efficient capacitive-DAC switching method [1] or a low-power comparator with a majority voting technique [2]. In this work, a small coarse ADC resolves the MSB bits. Then, a detect-and-skip algorithm and an aligned switching technique are used to reduce the big fine DAC switching energy. The comparator power is also decreased by utilizing a low-power comparator during coarse conversion and a low-noise comparator during fine conversion. As a result, its FoM performance is as low as 0.85fJ/conversion-step, which is about 3 times better than that of the state-of-the-art work [2].

159 citations


Journal ArticleDOI
TL;DR: A new sensing element is introduced that outputs only 75 mV to save both power and area in battery-operated, ultra-low power microsystems and is integrated into a wireless sensor node to demonstrate its operation at a system level.
Abstract: We propose a fully-integrated temperature sensor for battery-operated, ultra-low power microsystems. Sensor operation is based on temperature independent/dependent current sources that are used with oscillators and counters to generate a digital temperature code. A conventional approach to generate these currents is to drop a temperature sensitive voltage across a resistor. Since a large resistance is required to achieve nWs of power consumption with typical voltage levels (100 s of mV to 1 V), we introduce a new sensing element that outputs only 75 mV to save both power and area. The sensor is implemented in 0.18 μm CMOS and occupies 0.09 mm 2 while consuming 71 nW. After 2-point calibration, an inaccuracy of + 1.5°C/-1.4°C is achieved across 0 °C to 100 °C. With a conversion time of 30 ms, 0.3 °C (rms) resolution is achieved. The sensor does not require any external references and consumes 2.2 nJ per conversion. The sensor is integrated into a wireless sensor node to demonstrate its operation at a system level.

Journal ArticleDOI
TL;DR: This review paper focuses on the reliability issues such as soft-error, electrical noise and process variation, and their impact on TFET based circuit performance compared to sub-threshold CMOS.

Journal ArticleDOI
TL;DR: A fully integrated energy harvester that maintains >35% end-to-end efficiency when harvesting from a 0.84 mm 2 solar cell in low light condition of 260 lux, converting 7 nW input power from 250 mV to 4 V is presented.
Abstract: This paper presents a fully integrated energy harvester that maintains >35% end-to-end efficiency when harvesting from a 0.84 mm 2 solar cell in low light condition of 260 lux, converting 7 nW input power from 250 mV to 4 V. Newly proposed self-oscillating switched-capacitor (SC) DC-DC voltage doublers are cascaded to form a complete harvester, with configurable overall conversion ratio from 9× to 23×. In each voltage doubler, the oscillator is completely internalized within the SC network, eliminating clock generation and level shifting power overheads. A single doubler has >70% measured efficiency across 1 nA to 0.35 mA output current ( >10 5 range) with low idle power consumption of 170 pW. In the harvester, each doubler has independent frequency modulation to maintain its optimum conversion efficiency, enabling optimization of harvester overall conversion efficiency. A leakage-based delay element provides energy-efficient frequency control over a wide range, enabling low idle power consumption and a wide load range with optimum conversion efficiency. The harvester delivers 5 nW-5 μW output power with >40% efficiency and has an idle power consumption 3 nW, in test chip fabricated in 0.18 μm CMOS technology.

Journal ArticleDOI
TL;DR: The implementation details and silicon results of a 3 GHz dual-core ARM Cortex TM -A9 (A9) manufactured in the 28 nm planar Ultra-Thin Box and Body Fully-Depleted CMOS (UTBB FD-SOI) technology are presented.
Abstract: This paper presents the implementation details and silicon results of a 3 GHz dual-core ARM Cortex TM -A9 (A9) manufactured in the 28 nm planar Ultra-Thin Box and Body Fully-Depleted CMOS (UTBB FD-SOI) technology. The implementation is based on a fully synthesizable standard design flow. The design exploits the important flexibility provided by the FD-SOI technology, notably a wide Dynamic Voltage and Frequency Scaling (DVFS) range, from 0.52 V to 1.37 V, and Forward Body Bias (FBB) techniques up to 1.3 V. Detailed explanations of the body-biasing techniques specific to this technology are largely presented, in the context of a multi- VT co-integration, which enable this energy efficient silicon implementation. The system integrates all the advanced IPs for energy efficiency as well as the body bias generator and a fast (μs range) dynamic body bias management capability. The measured dual core CPU maximum operation frequency is 3 GHz (for 1.37 V) and it can be operated down to 300 MHz (for 0.52 V) in full continuous DVFS. The obtained relative performance, with respect to an equivalent planar 28 nm bulk CMOS chip, shows an improvement of +237% at 0.6 V, or +544% at 0.61 V with 1.3 V FBB.

Proceedings ArticleDOI
C-H. Lin1, Brian J. Greene1, Shreesh Narasimha1, J. Cai1, A. Bryant1, Carl J. Radens1, Vijay Narayanan1, Barry Linder1, Herbert L. Ho1, A. Aiyar1, E. Alptekin1, J-J. An1, Michael V. Aquilino1, Ruqiang Bao1, V. Basker1, Nicolas Breil1, MaryJane Brodsky1, William Y. Chang1, Clevenger Leigh Anne H1, Dureseti Chidambarrao1, Cathryn Christiansen1, D. Conklin1, C. DeWan1, H. Dong1, L. Economikos1, Bernard A. Engel1, Sunfei Fang1, D. Ferrer1, A. Friedman1, Allen H. Gabor1, Fernando Guarin1, Ximeng Guan1, M. Hasanuzzaman1, J. Hong1, D. Hoyos1, Basanth Jagannathan1, S. Jain1, S.-J. Jeng1, J. Johnson1, B. Kannan1, Y. Ke1, Babar A. Khan1, Byeong Y. Kim1, Siyuranga O. Koswatta1, Amit Kumar1, T. Kwon1, Unoh Kwon1, L. Lanzerotti1, H-K Lee1, W-H. Lee1, A. Levesque1, Wai-kin Li1, Zhengwen Li1, Wei Liu1, S. Mahajan1, Kevin McStay1, Hasan M. Nayfeh1, W. Nicoll1, G. Northrop1, A. Ogino1, Chengwen Pei1, S. Polvino1, Ravikumar Ramachandran1, Z. Ren1, Robert R. Robison1, Saraf Iqbal Rashid1, Viraj Y. Sardesai1, S. Saudari1, Dominic J. Schepis1, Christopher D. Sheraw1, Shariq Siddiqui1, Liyang Song1, Kenneth J. Stein1, C. Tran1, Henry K. Utomo1, Reinaldo A. Vega1, Geng Wang1, Han Wang1, W. Wang1, X. Wang1, D. Wehelle-Gamage1, E. Woodard1, Yongan Xu1, Y. Yang1, N. Zhan1, Kai Zhao1, C. Zhu1, K. Boyd1, E. Engbrecht1, K. Henson1, E. Kaste1, Siddarth A. Krishnan1, Edward P. Maciejewski1, Huiling Shang1, Noah Zamdmer1, R. Divakaruni1, J. Rice1, Scott R. Stiffler1, Paul D. Agnello1 
01 Dec 2014
TL;DR: In this article, the authors present a fully integrated 14nm CMOS technology featuring fin-FET architecture on an SOI substrate for a diverse set of SoC applications including HP server microprocessors and LP ASICs.
Abstract: We present a fully integrated 14nm CMOS technology featuring finFET architecture on an SOI substrate for a diverse set of SoC applications including HP server microprocessors and LP ASICs. This SOI finFET architecture is integrated with a 4th generation deep trench embedded DRAM to provide an ultra-dense (0.0174um2) memory solution for industry leading ‘scale-out’ processor design. A broad range of Vts is enabled on chip through a unique dual workfunction process applied to both NFETs and PFETs. This enables simultaneous optimization of both lowVt (HP) and HiVt (LP) devices without reliance on problematic approaches like heavy doping or Lgate modulation to create Vt differentiation. The SOI finFET's excellent subthreshold behavior allows gate length scaling to the sub 20nm regime and superior low Vdd operation. This leads to a substantial (>35%) performance gain for Vdd ∼0.8V compared to the HP 22nm planar predecessor technology. At the same time, the exceptional FE/BE reliability enables high Vdd (>1.1V) operation essential to the high single thread performance for processors intended for ‘scale-up’ enterprise systems. A hierarchical BEOL with 15 levels of copper interconnect delivers both high performance wire-ability as well as effective power supply and clock distribution for very large >600mm2 SoCs.

Journal ArticleDOI
06 Mar 2014
TL;DR: Two write-assist techniques are proposed: 1) suppressed coupling signal negative bit-line (SCS-NBL) technique and 2) write recovery enhanced lower cell-VDD (WRE-LCV) technique to reduce the SRAM minimal supply voltage.
Abstract: FinFET technology has become a mainstream technology solution for post-20nm CMOS technology [1], since it has superior short-channel effects, better sub-threshold slope and reduced random dopant fluctuation. Therefore, it is expected to achieve better performance with lower SRAM VDDMIN. However, the quantized sizing of the channel width and length has drawbacks for conventional 6T-SRAM bitcell scaling. To minimize the bitcell area of the high-density SRAM bitcell, the number of fins (setting the channel width, W) of the pull-up PMOS (PU), passgate NMOS (PG) and pull-down NMOS (PD) transistors must be selected as 1:1:1. Since PU, PG, and PD have the same channel length (L), the ratio in geometry between the PU transistor and the PG transistor is equal to one. With the process variations, the strength of PU transistor can be much stronger than the PG transistor. A stronger PU transistor increases read stability of the SRAM bitcell but it degrades the write margin significantly and results in worse write-VDDMIN issue. Figure 13.5.1(a) shows a contention condition between PU and PG transistors of a 6T-SRAM bitcell for the write operation. During the write operation, the PU transistor impedes the ability of the PG transistor to pull the storage node (S) from VDD to ground. The bitcell may suffer a write failure at the stronger PU with weaker PG condition caused by the device variations. Two techniques have been proposed to improve the high density SRAM bitcell write VDDMIN: 1) negative bit-line voltage (NBL) to increase the strength of PG transistor and 2) lower cell VDD (LCV) to weaken PU transistor strength [1-5]. Compared to the conventional techniques, this work develops a suppressed-coupling-signal negative bitline (SCS-NBL) scheme and a write-recovery-enhancement lower-cell-VDD (WRE-LCV) scheme for write assist without the concern of reliability at higher VDD operating region. A comparison of the effectiveness of the two design techniques is also performed. Figure 13.5.1(b) shows the layout view of the high-density 6T-SRAM bit-cell with 0.07μm2 area in a 16nm high-k metal-gate FinFET technology. To minimize area, we set the geometric ratio of PU, PG, and PD transistors all equal to one. With the two developed write-assist circuits, the overall VDDMIN improvement can be over 300mV in a 128Mb SRAM test-chip.

Journal ArticleDOI
TL;DR: In this article, the basics of single-photon counting in complementary metal oxide semiconductors, through singlephoton avalanche diodes (SPADs), and the making of miniaturized pixels with photon-counting capability based on SPADs are described.
Abstract: This paper describes the basics of single-photon counting in complementary metal oxide semiconductors, through single-photon avalanche diodes (SPADs), and the making of miniaturized pixels with photon-counting capability based on SPADs. Some applications, which may take advantage of SPAD image sensors, are outlined, such as fluorescence-based microscopy, three-dimensional time-of-flight imaging and biomedical imaging, to name just a few. The paper focuses on architectures that are best suited to those applications and the trade-offs they generate. In this context, architectures are described that efficiently collect the output of single pixels when designed in large arrays. Off-chip readout circuit requirements are described for a variety of applications in physics, medicine and the life sciences. Owing to the dynamic nature of SPADs, designs featuring a large number of SPADs require careful analysis of the target application for an optimal use of silicon real estate and of limited readout bandwidth. The paper also describes the main trade-offs involved in architecting such chips and the solutions adopted with focus on scalability and miniaturization.

Proceedings ArticleDOI
06 Mar 2014
TL;DR: First CMOSADCs with at least 6b and conversion rates exceeding 20GS/s were presented, proving that interleaved SAR ADCs are an optimal choice for high-speed ADCs with moderate resolution.
Abstract: Forthcoming optical communication standards such as ITU OTU-4 and 100/400Gb/s Ethernet require ADCs with more than 50GS/s and at least 5 ENOB to enable complex equalization in the digital domain. SAR ADCs and interleaved ADCs made impressive progress in recent years. First CMOS ADCs with at least 6b and conversion rates exceeding 20GS/s were presented, proving that interleaved SAR ADCs are an optimal choice for high-speed ADCs with moderate resolution. We present an interleaved CMOS ADC architecture based on an asynchronous redundant SAR ADC core element. It was measured up to a sampling rate of 100GS/s and can be operated from a single supply voltage. At 90GS/s, the measured SNDR stays above 36.0dB SNDR up to 6.1GHz and 33.0dB up to 19.9GHz input frequency while consuming 667mW. The ADC is implemented in 32nm digital SOI CMOS and occupies 0.45mm2.

Journal ArticleDOI
TL;DR: The current status of High K dielectrics in Very Large Scale Integrated circuit (VLSI) manufacturing for leading edge Dynamic Random Access Memory (DRAM) and Complementary Metal Oxide Semiconductor (CMOS) applications is summarized along with the deposition methods and general equipment types employed.
Abstract: The current status of High K dielectrics in Very Large Scale Integrated circuit (VLSI) manufacturing for leading edge Dynamic Random Access Memory (DRAM) and Complementary Metal Oxide Semiconductor (CMOS) applications is summarized along with the deposition methods and general equipment types employed. Emerging applications for High K dielectrics in future CMOS are described as well for implementations in 10 nm and beyond nodes. Additional emerging applications for High K dielectrics include Resistive RAM memories, Metal-Insulator-Metal (MIM) diodes, Ferroelectric logic and memory devices, and as mask layers for patterning. Atomic Layer Deposition (ALD) is a common and proven deposition method for all of the applications discussed for use in future VLSI manufacturing.

Journal ArticleDOI
TL;DR: A built-in input matching technique capable of handling a wide variation of multi-array thermoelectric generator impedances ranging two decades, from 10 s to 1000 s of ohms, and maximum power point tracking (MPPT) control for a boost converter (BC) is introduced.
Abstract: This paper presents a built-in input matching technique capable of handling a wide variation of multi-array thermoelectric generator (TEG) impedances ranging two decades, from 10 s to 1000 s of ohms. Maximum power point tracking (MPPT) control for a boost converter (BC) is introduced. The analytical expressions derived offer insight on the manner in which MPPT interacts with a BC to achieve best performance. The BC operates in a discontinuous conduction mode under pulse frequency modulation to minimize power consumption and maximize efficiency for light loads. Losses are minimized by implementing a pseudo-zero current switching control via the PMOS switch on/off time, and the output voltage is set using a global clocked comparator. A prototype was fabricated in 0.5 μm CMOS where efficiency measurements showed a maximum value of 61.15% for an RTEG = 33.33 Ω, and quiescent power consumption was 1 μW.

Journal ArticleDOI
TL;DR: A new bit-interleaving 12T subthreshold SRAM cell with Data-Aware Power-Cutoff (DAPC) Write-assist to improve the Write-ability to mitigate increased device variations at low supply voltage under deep sub-100 nm processes is presented.
Abstract: This paper presents a new bit-interleaving 12T subthreshold SRAM cell with Data-Aware Power-Cutoff (DAPC) Write-assist to improve the Write-ability to mitigate increased device variations at low supply voltage under deep sub-100 nm processes. The disturb-free feature facilitates the bit-interleaving architecture that can reduce multiple-bit errors in a single word and enhance soft error immunity by employing error checking and correction (ECC) techniques. The proposed 12T SRAM cell is demonstrated by a 4 kb SRAM macro implemented in 40 nm general purpose (40GP) CMOS technology. The test chip operates from typical VDD to 350 mV ( ~ 100 mV lower than the threshold voltage) with VDDMIN limited by Read operation. Data can be written successfully for VDD down to 300 mV. The measured maximum operation frequency is 11.5 MHz with total power consumption of 22 μW at 350 mV, 25 ° C.

Journal ArticleDOI
TL;DR: A novel CMOS bandgap reference with high-order curvature-compensation by using MOS transistors operating in weak inversion region using standard CMOS 0.18 μm technology is proposed, suitable for low-power applications requiring references with high precision.
Abstract: This paper proposes a novel CMOS bandgap reference (BGR) with high-order curvature-compensation by using MOS transistors operating in weak inversion region. The mechanism of the proposed curvature-compensation technique is analyzed thoroughly and the corresponding BGR circuit was implemented in standard CMOS 0.18 μm technology. The experimental results show that the proposed BGR achieves 4.5 ppm/°C over the temperature range of -40°C to 120°C at 1.2 V supply voltage. It consumes only 36 μA. In addition, it achieves line regulation performance of 0.054%/V. It is suitable for low-power applications requiring references with high precision.

Journal ArticleDOI
TL;DR: In this article, a non-invasive monitoring and feedback control of high-quality-factor silicon (Si) photonic resonators assisted by a transparent detector that is directly integrated inside the cavity is presented.
Abstract: As photonics moves from the single-device level toward large-scale, integrated, and complex systems on a chip, monitoring, control, and stabilization of the components become critical. We need to monitor a circuit non-invasively and apply a simple, fast, and robust feedback control. Here, we show non-invasive monitoring and feedback control of high-quality-factor silicon (Si) photonic resonators assisted by a transparent detector that is directly integrated inside the cavity. Control operations are entirely managed by a CMOS microelectronic circuit that is bridged to the Si photonic chip and hosts many parallel electronic readout channels. Advanced functionalities, such as wavelength tuning, locking, labeling, and swapping, are demonstrated. The non-invasive nature of the transparent monitor and the scalability of the CMOS readout system offer a viable solution for the control of arbitrarily reconfigurable photonic integrated circuits aggregating many components on a single chip.

Journal ArticleDOI
Daniel Tekleab1
TL;DR: In this paper, the performance of silicon nanotube field effect transistor (Si-NT-FET) having tubular channel and controllable by an inner and outer gates is presented.
Abstract: The device performance of silicon nanotube field effect transistor (Si-NT-FET) having tubular channel and controllable by an inner and outer gates is presented. The inner and outer gates render effective charge control inside the channel providing the Si-NT-FETs excellent immunity to short channel effects. Evaluations of electrical performances of Si-NT-FET using well calibrated 3D device simulations show that Si-NT-FETs can outperform Si-nanowire (NW)-FETs in terms of drive currents and SCEs. Our evaluation further shows that Si-NT-FETs can provide ~2× higher drive current compared to Si-NT-FET of the same diameter. This excellent electrical performance makes Si-NT-FETs promising candidates to extend CMOS scaling roadmap beyond Si-NW-FET.

Journal ArticleDOI
TL;DR: In this paper, a steep turn-on pMOSFET for low-voltage operation for the first time was demonstrated, which exhibits 5-60 mV/decade SS, wide voltage range for, sturdy SS at 85°C, faster transistor turnon at above threshold voltage, and lower off-state leakage by greater than three orders of magnitude.
Abstract: Power consumption is the most difficult challenge for CMOS integrated circuits. Here, we demonstrate experimentally a novel steep turn-on pMOSFET for low-voltage operation for the first time, which exhibits 5-60 mV/decade SS, wide voltage range for , sturdy SS at 85°C, faster transistor turn-on at above threshold voltage, and lower off-state leakage by greater than three orders of magnitude. Such improved leakage current is crucial to decrease the OFF-state leakage current in sub-1X nm CMOS. This was achieved using ferroelectric high-κ ZrHfO gate dielectric pMOSFET.

Journal ArticleDOI
TL;DR: This paper presents a 90-nm CMOS batteryless transceiver for RF-powered wireless sensor networks that avoids the use of a local crystal oscillator, thus implementing a highly integrated low-cost wireless transceiver.
Abstract: This paper presents a 90-nm CMOS batteryless transceiver for RF-powered wireless sensor networks. The circuit is made up of a RF energy harvesting module that is implemented through a multi-stage rectifier, a power management unit, and a PLL-based RF front-end that enables TX carrier synthesis by exploiting the RF input signal as a reference frequency. This avoids the use of a local crystal oscillator, thus implementing a highly integrated low-cost wireless transceiver. An active narrowband transmission scheme is adopted with the aim of overcoming the reader self-jamming that limits the operating range of backscattering-based RF-powered devices. The circuit supports a 915-MHz FSK downlink and a 2.45-GHz OOK uplink, while achieving a data rate up to 5 Mbps. It operates with an RF input power as low as -17.1 dBm.

Journal ArticleDOI
TL;DR: A novel level shifter, of which the operating range is from a deep subthreshold voltage to the standard supply voltage and includes upward and downward level conversion and is designed for practical applications.
Abstract: Wide-range level shifters play critical roles in ultra- low-voltage circuits and systems. Although state-of-the-art level shifters can convert a subthreshold voltage to the standard supply voltage, they may have limited operating ranges, which restrict the flexibility of dynamic voltage scaling. Therefore, this paper presents a novel level shifter, of which the operating range is from a deep subthreshold voltage to the standard supply voltage and includes upward and downward level conversion. The proposed level shifter is a hybrid structure comprising a modified Wilson current mirror and generic CMOS logic gates. The simulation and measurement results were verified using a 65-nm technology. The minimal operating voltage of the proposed level shifter was less than 200 mV based on the measurement results. In addition to the operating range, the delay, power consumption, and duty cycle of the proposed level shifter were designed for practical applications.

Journal ArticleDOI
TL;DR: A fully integrated 60 GHz frequency synthesizer with an in-phase injection-coupled quadrature voltage-controlled oscillator (IPIC-QVCO) is proposed, which reduces both phase noise and phase error.
Abstract: A fully integrated 60 GHz frequency synthesizer with an in-phase injection-coupled quadrature voltage-controlled oscillator (IPIC-QVCO) is proposed. Through a particular symmetrical coupling network formed by diode-connected transistors, the in-phase coupling is realized in the IPIC-QVCO, which reduces both phase noise and phase error. A compact inductor-less divider chain is designed to reduce power consumption. A self-correcting low spur charge pump is employed to reduce reference spur. A standalone 60 GHz IPIC-QVCO and a fully integrated PLL are implemented in standard 65 nm low power CMOS technology. The measurement results show that the QVCO covers a frequency range from 57.88 to 68.33 GHz while consuming 11.4 mW power from a 1.2 V supply. The phase noise of the QVCO is -92 ~ -95 dBc/Hz at 1 MHz offset. The FOM and FOM T of the QVCO are -178.1 ~ -179.7 and -182.5 ~ -184.1 dBc/Hz respectively. The tuning range of the frequency synthesizer is from 57.9 to 68.3 GHz, and the power consumption is 24.6 mW. The phase noise of the frequency synthesizer is -89.8 ~ -91.5 dBc/Hz at 1 MHz offset across the frequency band.

Journal ArticleDOI
09 Sep 2014
TL;DR: This paper presents a 28 Gb/s multistandard SerDes macro which is fabricated in TSMC 28 nm CMOS process and achieves error-free operation at 28 Gbps with 34 dB channel loss, consumes the worst case power of 560 mW/lane, and fully complies with multiple standards and applications.
Abstract: A high-speed SerDes must meet multiple challenges including high-speed operation, intensive equalization technique, low power consumption, small area and robustness. In order to meet new standards, such a OIF CEI-25G-LR, CEI-28G-MR/SR/VSR, IEEE802.3bj and 32G-FC, data-rates are increased to 25 to 28Gb/s, which is more than 75% higher than the previous generation of SerDes. For SerDes applications with several hundreds of lanes integrated in single chip, power consumption is very important factor while maintaining high performance. There are several previous works at 28Gb/s or higher data-rate [1-2]. They use an unrolled DFE to meet the critical timing margin, but the unrolled DFE structure increases the number of DFE slicers, increasing the overall power and die area. In order to tackle these challenges, we introduce several circuits and architectural techniques. The analog front-end (AFE) uses a single-stage architecture and a compact on-chip passive inductor in the transimpedance amplifier (TIA), providing 15dB boost. The boost is adaptive and its adaptation loop is decoupled from the decision-feedback equalizer (DFE) adaptation loop by the use of a group-delay adaptation (GDA) algorithm. DFE has a half-rate 1-tap unrolled structure with 2 total error latches for power and area reduction. A two-stage sense-amplifier-based slicer achieves a sensitivity of 15mV and DFE timing closure. We also develop a high-speed clock buffer that uses a new active-inductor circuit. This active-inductor circuit has the capability to control output-common-mode voltage to optimize circuit operating points.

Journal ArticleDOI
TL;DR: A highly adaptive multi-sensor SoC comprising four on-chip sensors and a smart wireless acquisition system is first realized in standard CMOS process and Experimental results show that four physiological parameters can be simultaneously monitored using this chip.
Abstract: A highly adaptive multi-sensor SoC comprising four integrated on-chip sensors and a smart wireless acquisition system is realized in standard CMOS process for the first time. To intelligently process different types (C, R, I, and V) of sensor signals, a linear (R-square is 0.999) and reconfigurable sensor readout is proposed based on switched-capacitor circuit technology. In addition, a dual-input energy harvesting interface with conversion efficiency of 73% is also integrated to pick up light energy and RF power, which potentiates long-term use without battery replacement. The entire SoC occupies die area of 11.25 mm 2 and consumes only 942.9 μW. Experimental results show that four physiological parameters (temperature, glucose and protein concentration, and pH value) can be simultaneously monitored using this chip. This system can be seen as a universal sensor platform. Different types of sensors can be easily integrated into it for convenient use, which dramatically reduces time consuming of building a new sensor system.