scispace - formally typeset
Search or ask a question

Showing papers on "Contact resistance published in 2013"


Journal ArticleDOI
TL;DR: It is demonstrated that through a proper understanding and design of source/drain contacts and the right choice of number of MoS(2) layers the excellent intrinsic properties of this 2-D material can be harvested.
Abstract: While there has been growing interest in two-dimensional (2-D) crystals other than graphene, evaluating their potential usefulness for electronic applications is still in its infancy due to the lack of a complete picture of their performance potential. The focus of this article is on contacts. We demonstrate that through a proper understanding and design of source/drain contacts and the right choice of number of MoS2 layers the excellent intrinsic properties of this 2-D material can be harvested. Using scandium contacts on 10-nm-thick exfoliated MoS2 flakes that are covered by a 15 nm Al2O3 film, high effective mobilities of 700 cm2/(V s) are achieved at room temperature. This breakthrough is largely attributed to the fact that we succeeded in eliminating contact resistance effects that limited the device performance in the past unrecognized. In fact, the apparent linear dependence of current on drain voltage had mislead researchers to believe that a truly Ohmic contact had already been achieved, a miscon...

2,185 citations


Journal ArticleDOI
TL;DR: The design and first demonstration of high-performance n-type monolayer tungsten diselenide (WSe2) field effect transistors (FET) by selecting the contact metal based on understanding the physics of contact between metal and monolayers WSe2 corroborates the superb potential of WSe 2 for complementary digital logic applications.
Abstract: This work presents a systematic study toward the design and first demonstration of high-performance n-type monolayer tungsten diselenide (WSe2) field effect transistors (FET) by selecting the contact metal based on understanding the physics of contact between metal and monolayer WSe2. Device measurements supported by ab initio density functional theory (DFT) calculations indicate that the d-orbitals of the contact metal play a key role in forming low resistance ohmic contacts with monolayer WSe2. On the basis of this understanding, indium (In) leads to small ohmic contact resistance with WSe2 and consequently, back-gated In–WSe2 FETs attained a record ON-current of 210 μA/μm, which is the highest value achieved in any monolayer transition-metal dichalcogenide- (TMD) based FET to date. An electron mobility of 142 cm2/V·s (with an ON/OFF current ratio exceeding 106) is also achieved with In–WSe2 FETs at room temperature. This is the highest electron mobility reported for any back gated monolayer TMD materia...

868 citations


Journal ArticleDOI
TL;DR: In this article, the use of reactive electropositive metal contacts is proposed to lower contact resistance in MoS2 devices, based on calculations of the sulfur vacancy in the screened exchange (sX) hybrid functional.
Abstract: The use of reactive electropositive metal contacts is proposed to lower contact resistance in MoS2 devices, based on calculations of the sulfur vacancy in MoS2 by the screened exchange (sX) hybrid functional. sX gives band gaps of 1.88 eV and 1.34 eV for monolayer and bulk MoS2. The S vacancy has a formation energy of 2.35 eV in S-rich conditions, while the Mo vacancy has a large formation energy of 8.02 eV in Mo-rich conditions. The S vacancy introduces defect levels 0/−1 at 1.23 eV and −1/−2 at 1.28 eV in the upper gap. Its formation energy becomes small or negative for EF near the conduction band edge, leading to EF pinning near the conduction band for reactive metal contacts and lower contact resistances.

361 citations


Journal ArticleDOI
15 Aug 2013-ACS Nano
TL;DR: An effective average contact resistance is obtained, which is indicative of the nanowire chemistry and processing methods, and an empirical diameter-dependent expression for the optical transmittance is produced, producing a fully calculated plot of opticalTransmittance versus sheet resistance.
Abstract: Metal nanowire films are among the most promising alternatives for next-generation flexible, solution-processed transparent conductors. Breakthroughs in nanowire synthesis and processing have reported low sheet resistance (Rs ≤ 100 Ω/sq) and high optical transparency (%T > 90%). Comparing the merits of the various nanowires and fabrication methods is inexact, because Rs and %T depend on a variety of independent parameters including nanowire length, nanowire diameter, areal density of the nanowires and contact resistance between nanowires. In an effort to account for these fundamental parameters of nanowire thin films, this paper integrates simulations and experimental results to build a quantitatively predictive model. First, by fitting the results from simulations of quasi-2D rod networks to experimental data from well-defined nanowire films, we obtain an effective average contact resistance, which is indicative of the nanowire chemistry and processing methods. Second, this effective contact resistance i...

341 citations


Journal ArticleDOI
TL;DR: Experimental and analytical studies on the characteristic resistance of NI (no-insulation) ReBCO pancake coils, which are used in an equivalent circuit model to characterize 'radial as well as spiral' current paths within the NI coils, identified turn-to-turn contact resistance as a major source of the characteristic Resistance of an NI coil.
Abstract: This paper presents experimental and analytical studies on the characteristic resistance of NI (no-insulation) ReBCO pancake coils, which are used in an equivalent circuit model to characterize 'radial as well as spiral' current paths within the NI coils. We identified turn-to-turn contact resistance as a major source of the characteristic resistance of an NI coil. In order to verify this, three single pancake NI HTS coils-60, 40, 20 turns-were fabricated with their winding tension carefully maintained constant. A sudden discharge test was performed on each coil to obtain its characteristic resistance, and the relation between the turn-to-turn contact and the characteristic resistance was investigated. Based on the characteristic resistance and the n-value model, an equivalent circuit model was proposed to characterize the time-varying response of the NI coils. Charging tests were performed on the three test coils and the experimental results were compared with the simulated ones to validate the proposed approach with the equivalent circuit model.

287 citations


Journal ArticleDOI
TL;DR: In this paper, a donor doping technique for β-Ga2O3 by using Si-ion (Si+) implantation was developed, which achieved a high activation efficiency of above 60% after annealing in a nitrogen gas atmosphere at a relatively low temperature of 900-1000 °C.
Abstract: We developed a donor doping technique for β-Ga2O3 by using Si-ion (Si+) implantation. For the implanted Ga2O3 substrates with Si+=1×1019–5×1019 cm-3, a high activation efficiency of above 60% was obtained after annealing in a nitrogen gas atmosphere at a relatively low temperature of 900–1000 °C. Annealed Ti/Au electrodes fabricated on the implanted Ga2O3 layers showed ohmic behavior. The Ga2O3 with Si+=5×1019 cm-3 showed the lowest specific contact resistance and resistivity obtained in this work of 4.6×10-6 Ωcm2 and 1.4 mΩcm, respectively.

246 citations


Journal ArticleDOI
Yuchen Du1, Han Liu1, Adam T. Neal1, Mengwei Si1, Peide D. Ye1 
TL;DR: In this article, polyethyleneimine (PEI) doping on multilayer MoS2 field-effect transistors is investigated, and the authors demonstrate the feasibility of PEI molecular doping in MoS 2 transistors and its potential applications in layer-structured semiconducting 2D crystals.
Abstract: For the first time, polyethyleneimine (PEI) doping on multilayer MoS2 field-effect transistors is investigated. A 2.6 times reduction in sheet resistance and 1.2 times reduction in contact resistance have been achieved. The enhanced electrical characteristics are also reflected in a 70% improvement in ON-current and 50% improvement in extrinsic field-effect mobility. The threshold voltage confirms a negative shift upon the molecular doping. All studies demonstrate the feasibility of PEI molecular doping in MoS2 transistors and its potential applications in layer-structured semiconducting 2-D crystals.

233 citations


Journal ArticleDOI
TL;DR: In this article, the authors focus on the resistance random access memory (RRAM) in oxides with inhomogeneous conductivities and discuss the current challenges of RS investigation and the potential improvement of the RS performance for the nonvolatile memories.
Abstract: Electric-field-induced resistance switching (RS) phenomena have been studied for over 60 years in metal/dielectrics/metal structures. In these experiments a wide range of dielectrics have been studied including binary transition metal oxides, perovskite oxides, chalcogenides, carbon- and silicon-based materials, as well as organic materials. RS phenomena can be used to store information and offer an attractive performance, which encompasses fast switching speeds, high scalability, and the desirable compatibility with Si-based complementary metal—oxide—semiconductor fabrication. This is promising for nonvolatile memory technology, i.e., resistance random access memory (RRAM). However, a comprehensive understanding of the underlying mechanism is still lacking. This impedes faster product development as well as accurate assessment of the device performance potential. Generally speaking, RS occurs not in the entire dielectric but only in a small, confined region, which results from the local variation of conductivity in dielectrics. In this review, we focus on the RS in oxides with such an inhomogeneous conductivity. According to the origin of the conductivity inhomogeneity, the RS phenomena and their working mechanism are reviewed by dividing them into two aspects: interface RS, based on the change of contact resistance at metal/oxide interface due to the change of Schottky barrier and interface chemical layer, and bulk RS, realized by the formation, connection, and disconnection of conductive channels in the oxides. Finally the current challenges of RS investigation and the potential improvement of the RS performance for the nonvolatile memories are discussed.

233 citations


Journal ArticleDOI
TL;DR: The findings suggest that in a multilayer MoS2 field-effect transistor the "HOT-SPOT" of the current flow migrates dynamically between the layers as a function of the applied back gate bias and manifests itself in a rather unusual "contact resistance" that cannot be explained using the conventional models for metal-to-semiconductor contacts.
Abstract: In this Letter, we map for the first time the current distribution among the individual layers of multilayer two-dimensional systems. Our findings suggest that in a multilayer MoS2 field-effect transistor the “HOT-SPOT” of the current flow migrates dynamically between the layers as a function of the applied back gate bias and manifests itself in a rather unusual “contact resistance” that cannot be explained using the conventional models for metal-to-semiconductor contacts. To interpret this unique contact resistance, extracted from a channel length scaling study, we employed a resistor network model based on Thomas–Fermi charge screening and interlayer coupling. By modeling our experimental data we have found that the charge screening length for MoS2 is rather large (λMoS2 = 7 nm) and translates into a current distribution in multilayer MoS2 systems, which is distinctly different from the current distribution in multilayer graphene (λgraphene = 0.6 nm). In particular, our experimental results allow us to ...

228 citations


Journal ArticleDOI
08 Mar 2013-ACS Nano
TL;DR: This work finds that a 32% reduction in contact resistance results in Cu-contacted, two-terminal devices, while a 22% reduction is achieved for top-gated graphene transistors with Pd contacts as compared to conventionally fabricated devices.
Abstract: Performance of graphene electronics is limited by contact resistance associated with the metal–graphene (M–G) interface, where unique transport challenges arise as carriers are injected from a 3D metal into a 2D-graphene sheet. In this work, enhanced carrier injection is experimentally achieved in graphene devices by forming cuts in the graphene within the contact regions. These cuts are oriented normal to the channel and facilitate bonding between the contact metal and carbon atoms at the graphene cut edges, reproducibly maximizing “edge-contacted” injection. Despite the reduction in M–G contact area caused by these cuts, we find that a 32% reduction in contact resistance results in Cu-contacted, two-terminal devices, while a 22% reduction is achieved for top-gated graphene transistors with Pd contacts as compared to conventionally fabricated devices. The crucial role of contact annealing to facilitate this improvement is also elucidated. This simple approach provides a reliable and reproducible means of...

217 citations


Journal ArticleDOI
TL;DR: In this article, the surface potential (SP) of graphene is directly measured in Hall bar geometry via a combination of electrical functional microscopy and spectroscopy techniques, which enables calibrated work function measurements of graphene domains in ambient conditions with values Φ 1LG ~4.55 ± 0.02
Abstract: We compare the three most commonly used scanning probe techniques to obtain a reliable value of the work function in graphene domains of different thickness. The surface potential (SP) of graphene is directly measured in Hall bar geometry via a combination of electrical functional microscopy and spectroscopy techniques, which enables calibrated work function measurements of graphene domains in ambient conditions with values Φ1LG ~4.55 ± 0.02 eV and Φ2LG ~ 4.44 ± 0.02 eV for single- and bi-layer, respectively. We demonstrate that frequency-modulated Kelvin probe force microscopy (FM-KPFM) provides more accurate measurement of the SP than amplitude-modulated (AM)-KPFM. The discrepancy between experimental results obtained by different techniques is discussed. In addition, we use FM-KPFM for contactless measurements of the specific components of the device resistance. We show a strong non-Ohmic behavior of the electrode-graphene contact resistance and extract the graphene channel resistivity.

Journal ArticleDOI
TL;DR: In this paper, polyethyleneimine (PEI) doping on multilayer MoS2 field-effect transistors is investigated, and a 2.6 times reduction in sheet resistance and 1.2 times reduction of contact resistance have been achieved.
Abstract: For the first time, polyethyleneimine (PEI) doping on multilayer MoS2 field-effect transistors are investigated. A 2.6 times reduction in sheet resistance, and 1.2 times reduction in contact resistance have been achieved. The enhanced electrical characteristics are also reflected in a 70% improvement in ON current, and 50% improvement in extrinsic field-effect mobility. The threshold voltage also confirms a negative shift upon the molecular doping. All studies demonstrate the feasibility of PEI molecular doping in MoS2 transistors, and its potential applications in layer-structured semiconducting 2D crystals.

Journal ArticleDOI
TL;DR: This Letter synthesizes monolayer MoS2 on Si/SiO2 substrate via chemical vapor deposition (CVD) method and comprehensively study the device performance based on dual-gatedMoS2 field-effect transistors.
Abstract: Monolayer molybdenum disulfide (MoS2) with a direct band gap of 1.8 eV is a promising two-dimensional material with a potential to surpass graphene in next generation nanoelectronic applications. In this Letter, we synthesize monolayer MoS2 on Si/SiO2 substrate via chemical vapor deposition (CVD) method and comprehensively study the device performance based on dual-gated MoS2 field-effect transistors. Over 100 devices are studied to obtain a statistical description of device performance in CVD MoS2. We examine and scale down the channel length of the transistors to 100 nm and achieve record high drain current of 62.5 mA/mm in CVD monolayer MoS2 film ever reported. We further extract the intrinsic contact resistance of low work function metal Ti on monolayer CVD MoS2 with an expectation value of 175 Ω·mm, which can be significantly decreased to 10 Ω·mm by appropriate gating. Finally, field-effect mobilities (μFE) of the carriers at various channel lengths are obtained. By taking the impact of contact resis...

Journal ArticleDOI
TL;DR: In this article, the authors explored the sources of carbon-based EDLC internal resistance using electrochemical impedance spectroscopy (EIS) and an equivalent circuit model was coupled with the EIS data for the analyses.

Journal ArticleDOI
TL;DR: In this paper, the authors synthesize monolayer Molybdenum disulfide (MoS2) on Si/SiO2 substrate via chemical vapor deposition (CVD) method and comprehensively study the device performance based on dual-gated MoS2 field effect transistors.
Abstract: Monolayer Molybdenum Disulfide (MoS2) with a direct band gap of 1.8 eV is a promising two-dimensional material with a potential to surpass graphene in next generation nanoelectronic applications. In this letter, we synthesize monolayer MoS2 on Si/SiO2 substrate via chemical vapor deposition (CVD) method and comprehensively study the device performance based on dual-gated MoS2 field-effect transistors. Over 100 devices are studied to obtain a statistical description of device performance in CVD MoS2. We examine and scale down the channel length of the transistors to 100 nm and achieve record high drain current of 62.5 mA/mm in CVD monolayer MoS2 film ever reported. We further extract the intrinsic contact resistance of low work function metal Ti on monolayer CVD MoS2 with an expectation value of 175 {\Omega}.mm, which can be significantly decreased to 10 {\Omega}.mm by appropriate gating. Finally, field-effect mobilities ({\mu}FE) of the carriers at various channel lengths are obtained. By taking the impact of contact resistance into account, an average and maximum intrinsic {\mu}FE is estimated to be 13.0 and 21.6 cm2/Vs in monolayer CVD MoS2 films, respectively.

Journal ArticleDOI
TL;DR: In this article, a hydrogen-doped indium oxide (IO:H) and indium tin oxide (ITO) bilayer was proposed for silicon heterojunction solar cells.

Journal ArticleDOI
TL;DR: The metal-catalyzed etching contact treatment is compatible with complementary metal-oxide-semiconductor (CMOS) fabrication processes, and holds great promise to meet the contact performance required for the integration of graphene in future integrated circuits.
Abstract: The performance of graphene-based transistors is often limited by the large electrical resistance across the metal-graphene contact. We report an approach to achieve ultra-low resistance metal contacts to graphene transistors. Through a process of metal-catalyzed etching in hydrogen, multiple nano-sized pits with zigzag edges are created in the graphene that form strong chemical bonds with deposited nickel metallization for source-drain contacts without the need for further annealing. This facile contact treatment prior to electrode metallization results in contact resistance as low as 100 ohm-um in single-layer graphene field-effect transistors, and 11 ohm-um in bilayer graphene transistors. The treatment is compatible with complementary metal-oxide-semiconductor fabrication processes, and holds great promise to meet the contact performance required for the integration of graphene in future integrated circuits.

Journal ArticleDOI
TL;DR: In this paper, the authors reported reduced contact resistance of single-layer graphene devices by using ultraviolet ozone treatment to modify the metal/graphene contact interface, which was fabricated from mechanically transferred, chemical vapor deposition grown single layer graphene.
Abstract: We report reduced contact resistance of single-layer graphene devices by using ultraviolet ozone treatment to modify the metal/graphene contact interface. The devices were fabricated from mechanically transferred, chemical vapor deposition grown single layer graphene. Ultraviolet ozone treatment of graphene in the contact regions as defined by photolithography and prior to metal deposition was found to reduce interface contamination originating from incomplete removal of poly(methyl-methacrylate) and photoresist. Our control experiment shows that exposure times up to 10 min did not introduce significant disorder in the graphene as characterized by Raman spectroscopy. By using the described approach, contact resistance of less than 200 Ω μm was achieved for 25 min ultraviolet ozone treatment, while not significantly altering the electrical properties of the graphene channel region of devices.

Journal ArticleDOI
TL;DR: In this article, a systematic study was carried out to investigate the detailed reaction and diffusion at the interface of the nickel layer and n-type Bi2Te3-based thermoelectric material layer.
Abstract: Traditional processes of making contacts (metallization layer) onto bulk crystalline Bi2Te3-based materials do not work for nanostructured thermoelectric materials either because of weak bonding strength or an unstable contact interface at temperatures higher than 200 °C. Hot pressing of nickel contact onto nanostructured thermoelectric legs in a one-step process leads to strong bonding. However, such a process results in large contact resistance in n-type Ni/Bi2Te2.7Se0.3/Ni legs, although not in p-type Ni/Bi0.4Sb1.6Te3/Ni legs. A systematic study was carried out to investigate the detailed reaction and diffusion at the interface of the nickel layer and n-type Bi2Te3-based thermoelectric material layer. We found that a p-type region formed within the n-type Bi2Te2.7Se0.3 during hot pressing due to Te deficiency and Ni doping, leading to a large contact resistance.

Journal ArticleDOI
TL;DR: In this article, a review of the important characteristics of the contact interface such as modeling and material choice is discussed. And a brief comparison of actuation methods is provided to show why electrostatic actuation is most commonly used by radio frequency microelectromechanical systems designers.
Abstract: Innovations in relevant micro-contact areas are highlighted, these include, design, contact resistance modeling, contact materials, performance and reliability. For each area the basic theory and relevant innovations are explored. A brief comparison of actuation methods is provided to show why electrostatic actuation is most commonly used by radio frequency microelectromechanical systems designers. An examination of the important characteristics of the contact interface such as modeling and material choice is discussed. Micro-contact resistance models based on plastic, elastic-plastic and elastic deformations are reviewed. Much of the modeling for metal contact micro-switches centers around contact area and surface roughness. Surface roughness and its effect on contact area is stressed when considering micro-contact resistance modeling. Finite element models and various approaches for describing surface roughness are compared. Different contact materials to include gold, gold alloys, carbon nanotubes, composite gold-carbon nanotubes, ruthenium, ruthenium oxide, as well as tungsten have been shown to enhance contact performance and reliability with distinct trade offs for each. Finally, a review of physical and electrical failure modes witnessed by researchers are detailed and examined.

Journal ArticleDOI
TL;DR: In this paper, the effect of pore structural properties (porosity and pore diameter) of current collectors in proton exchange membrane (PEM) electrolyzers on electrolysis performance was experimentally evaluated by using various titanium (Ti)-felt substrates with different porosities and diameters (measured by capillary flow porometry) as the anode current collectors.

Journal ArticleDOI
TL;DR: In this paper, the effect of carbon to oxygen ratio (C/O) on the electrical resistance of functionalized graphene sheets prepared by thermal exfoliation and reduction of graphite oxide at various temperatures was studied.
Abstract: We study the effect of carbon to oxygen ratio (C/O) on the electrical resistance of functionalized graphene sheets prepared by thermal exfoliation and reduction of graphite oxide at various temperatures. Using a 2-probe technique in conjunction with Kelvin probe force microscopy, we observe a transition from high-resistance (>400 kΩ/sq) nonlinear current/voltage characteristics at low C/O to low-resistance (<10 kΩ/sq) linear behavior at high C/O, indicating a transition from hopping to diffusive electron transport. Simultaneously, the metal-graphene contacts change from high-resistance Schottky-type behavior to nearly non-invasive metal-metal contact characteristics.

Proceedings ArticleDOI
01 Dec 2013
TL;DR: In this paper, a high-performance few-layer MoS2 field effect transistor (FL-MoS2 FET) with record low contact resistance (0.8 KΩ) was proposed.
Abstract: Recently, Molybdenum Disulphide (MoS2) has emerged as a promising candidate for low-power digital applications. Compared to monolayer (1L) MoS2, few-layer MoS2 (FL-MoS2) is attractive due to its higher density of states (DOS). However, a comprehensive study of FL-MoS2 field-effect-transistor (FET) is lacking. In this paper, we report a high-performance FL-MoS2 FET with record low contact resistance (~0.8 KΩ.μm) that is close to the value for metal-silicon contacts in CMOS technology. A correlation of device performance and the number of MoS2 layers is established to guide the design of high-performance FL-MoS2 FET. Moreover, it is found that edge contacts (metal contact to each edge of MoS2 layers) play a key role in the efficient injection of electrons from metal to MoS2. This is confirmed by experiments as well as density functional theory (DFT) calculations. Moreover, a top gated FL-MoS2 (5 nm) FET is also demonstrated with a robust current saturation and high drive current (24 μA/μm) even without source/drain doping.

Journal ArticleDOI
TL;DR: In this article, a physics-based approach for Fermi-level pinning in metal-semiconductor contacts has been extended to metal-interfacial layer (IL)-semiconductors (MIS) contacts.
Abstract: Metal-induced-gap-states model for Fermi-level pinning in metal-semiconductor contacts has been extended to metal-interfacial layer (IL)-semiconductor (MIS) contacts using a physics-based approach. Contact resistivity simulations evaluating various ILs on n-Ge indicate the possibility of forming low resistance contacts using TiO2, ZnO, and Sn-doped In2O3 (ITO) layers. Doping of the IL is proposed as an additional knob for lowering MIS contact resistance. This is demonstrated through simulations and experimentally verified with circular-transfer length method and diode measurements on Ti/n+-ZnO/n-Ge and Ti/ITO/n-Ge MIS contacts.

Journal ArticleDOI
TL;DR: This work demonstrated the conversion of the Schottky nature of the Ni-Si junction into an Ohmic contact with low contact resistance by inserting a single layer of graphene.
Abstract: The rectifying Schottky characteristics of the metal-semiconductor junction with high contact resistance have been a serious issue in modern electronic devices. Herein, we demonstrated the conversion of the Schottky nature of the Ni-Si junction, one of the most commonly used metal-semiconductor junctions, into an Ohmic contact with low contact resistance by inserting a single layer of graphene. The contact resistance achieved from the junction incorporating graphene was about 10(-8) ~ 10(-9) Ω cm(2) at a Si doping concentration of 10(17) cm(-3).

Journal ArticleDOI
TL;DR: In this paper, the impact of gate dielectric interfaces on the contact resistance of organic field effect transistors (OFETs) has been investigated, and the strong impact of the gate interface on contact resistance has been revealed.
Abstract: Extensive research on organic field-effect transistors (OFETs) performed to date investigated separately the electronic contact and the gate dielectric interfaces but rarely probed the relation between the two. In this report, the strong impact of the gate dielectric on the contact resistance (Rc) is revealed. With the same semiconductor dioctylbenzothienobenzothiophene (C8-BTBT) and the same device configuration, the Rc value varies greatly from 10 to 66 kΩ·cm depending on the gate dielectric interfaces. Also, the gate-voltage dependency of Rc exhibits an unexpectedly large discrepancy when different dielectrics are used. Intuitive comprehension points to the possibility that the gate dielectric interface affects the morphology of semiconductor and thus the charge injection. However, from microstructure study, albeit the semiconductor film exhibits structural defects on certain dielectrics, the impact on the injection is not crucial. Instead, bias-stress test correlates well with the contact resistance o...

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the contact resistance in PEMFCs with metal bipolar plates with state-of-the-art membrane electrode assemblies, specifically Au/TiO 2 -coated titanium bipolar plates (BPPs), gas diffusion layers with microporous layers (GDLs with MPLs), and catalyst-coated membranes (CCMs) comprising a 15-μm-thick proton exchange membranes.

Journal ArticleDOI
22 Jan 2013-ACS Nano
TL;DR: High-performance solution-processed nanotube transistors with a 15 nm channel length obtained by combining a top-gate structure and gate insulators made of a high-dielectric-constant ZrO(2) film yields a performance comparable to that obtained with CVD nanotubes transistors, which indicates the potential for using solution- Processed SWNTs for future aggressively scaled transistor technology.
Abstract: We develop short-channel transistors using solution-processed single-walled carbon nanotubes (SWNTs) to evaluate the feasibility of those SWNTs for high-performance applications. Our results show that even though the intrinsic field-effect mobility is lower than the mobility of CVD nanotubes, the electrical contact between the nanotube and metal electrodes is not significantly affected. It is this contact resistance which often limits the performance of ultrascaled transistors. Moreover, we found that the contact resistance is lowered by the introduction of oxygen treatment. Therefore, high-performance solution-processed nanotube transistors with a 15 nm channel length were obtained by combining a top-gate structure and gate insulators made of a high-dielectric-constant ZrO2 film. The combination of these elements yields a performance comparable to that obtained with CVD nanotube transistors, which indicates the potential for using solution-processed SWNTs for future aggressively scaled transistor technology.

Journal ArticleDOI
TL;DR: In this article, the authors used noninvasive atomic force microscopy to probe the spatial electrical conductivity of isolated junctions of pristine and nitric acid treated single-walled carbon nanotube networks (SWCNT-N).
Abstract: We use noninvasive atomic force microscopy to probe the spatial electrical conductivity of isolated junctions of pristine and nitric acid treated single-walled carbon nanotube networks (SWCNT-N). By analyzing the local IV curves of SWCNTs and bundles with various diameters, the resistance per unit length and the contact resistance of their junctions are estimated to be 3–16 kΩ/μm and 29–532 kΩ, respectively. We find that the contact resistance decreases with increasing SWCNT or bundle diameter and depends on the contact morphology, reaching a value of 29 kΩ at a diameter of 10 nm. A nitric acid treatment moderately dopes SWCNTs and reduces their average contact resistance by a factor of 3 while the resistance of the nanotubes remains largely unaltered. Remarkably, the same treatment on an SWCNT-N shows similar reduction in the sheet resistance by a factor of 4. These results suggest that the resistance reduction mechanism is related to the contact modulation with no major impact on conductance of SWCNTs.

Journal ArticleDOI
TL;DR: In this paper, a selective Si diffusion approach is proposed to improve both the forward and reverse characteristics of AlGaN/GaN Schottky barrier diodes on Si substrates.
Abstract: In this letter, a selective Si diffusion approach is proposed to improve both the forward and reverse characteristics of AlGaN/GaN Schottky barrier diodes on Si substrates. The Si diffusion layer forms a dual Schottky barrier anode structure, which results in a low Schottky barrier portion to reduce the onset voltage VON from 1.3 to 1.0 V (23%). In the same process step, the selectively diffused Si is adopted in the cathode to reduce the ohmic contact resistance RC and improve the breakdown voltage VBK. A low RC of 0.21 Ω·mm and enhanced VBK up to 20% (from 1250 to 1500 V) are demonstrated, which can be attributed to the alleviated electric-field peaks around the alloy spikes beneath the ohmic contact.