scispace - formally typeset
Search or ask a question

Showing papers on "Design for testing published in 1995"


Proceedings ArticleDOI
21 Oct 1995
TL;DR: This paper describes the testing of a chip especially designed to facilitate the evaluation of various test techniques for combinational circuitry and the different test sets and test conditions are described.
Abstract: This paper describes the testing of a chip especially designed to facilitate the evaluation of various test techniques for combinational circuitry. The different test sets and test conditions are described. Several tables show the results of voltage tests applied, either at rated speed or 2/3 speed, to each defective CUT. Data for CrossCheck, Very-Low-Voltage, IDDQ and delay tests are also given.

286 citations


Patent
07 Feb 1995
TL;DR: The manufacturing and test simulator (MTSIM) as discussed by the authors simulates manufacturing test and repair aspects of boards and multichip modules (MCMs) from design concept through manufacturing release to aid the designer in selecting appropriate trade-offs in the design for manufacturability and testability.
Abstract: A manufacturing and test simulation method for electronic circuit design integrated with computer aided design tools to provide concurrent engineering of manufacturing and testability aspects of a product concurrent with the functional design of a product. The manufacturing and test simulator (MTSIM) simulates manufacturing test and repair aspects of boards and multichip modules (MCMs) from design concept through manufacturing release to aid the designer in selecting appropriate trade-offs in the design for manufacturability and the design for testability. All simulation by the methods of the present invention applies manufacturing and test models down to the component level. The methods of the simulator include a new yield model for boards and MCMs which accounts for the clustering of solder defects. MTSIM models solder faults, manufacturing workmanship faults, component performance faults, and reliability faults. Fault probabilities for the circuit design are estimated based on the component type, the component functionality, and the assembly process used. Up to seven manufacturing test steps can be simulated by MTSIM. Test coverage models will support all commonly used manufacturing test methodologies, including visual inspection, in-circuit test, IEEE 1149.1 boundary scan, selftest, diagnostics, and burn-in. Pareto and iterative "what-if" analysis may be used to locate particular enhancements which most benefit the manufacturability and testability of the product.

191 citations


Proceedings ArticleDOI
21 Oct 1995
TL;DR: The objective is to minimize the performance as well as the area impact due to the insertion of test points while achieving a high fault coverage under the pseudo-random BIST scheme.
Abstract: We propose timing-driven test point insertion methods for a full-scan based BIST scheme and for a partial-scan based BIST scheme, where the global flip-flop cycles have been broken by the scan flip-flops. The objective is to minimize the performance as well as the area impact due to the insertion of test points while achieving a high fault coverage under the pseudo-random BIST scheme. The gradient-based method is used and extended to estimate the random-pattern testability improvement factors for the test point candidates of either full-scan based or partial-scan based BIST. We also propose a symbolic computation technique to compute testability for circuits under the partial-scan based BIST scheme. Experimental results show that the performance degradation of test point insertion could be unacceptably high if the cost function used for test point selection does not include the performance penalty. Using our timing-driven algorithm, zero performance degradation and a high fault coverage can always be achieved using a small number of test points.

118 citations


Journal ArticleDOI
TL;DR: Different techniques for checking whether an asynchronous circuit has fabrication defects are surveyed, which include approaches to self-checking design, methods for test generation, design for testability, and delay test of asynchronous circuits.

98 citations


Book
30 Aug 1995
TL;DR: In this article, the authors present a set of techniques to guide those responsible for creating computer programs that are integrated into engineering designs and are essential for the device to function in a reliable manner.
Abstract: From the Publisher: Comprised of techniques to guide those responsible for creating computer programs that are integrated into engineering designs and are essential for the device to function in a reliable manner. Emphasizes how to assess the qualities of reliability and safety in software design and development. Discusses design for testability (DFT) to demonstrate how the software engineer should devise and code a program to maximize testability. Includes a chapter on the generation of test cases to support testing and testability analysis.

96 citations


Patent
29 Dec 1995
TL;DR: In this paper, a three-tiered effort performance optimization process within a scan insertion process is presented, where a first tier performs size design only on elements of the design added for design for test (DFT).
Abstract: A computer implemented process and system for providing a scan insertion process having a reduced set of constraint driven compiler optimizations that provide an efficient and effective optimization for design for test implementations. The present invention includes a three tiered effort performance optimization process within a scan insertion process; a first tier operates to perform a set of optimizations (size design) only on elements of the design added for design for test (DFT). The second tier offers the first tier and performs the size design optimizations across all of the design while the third tier offers the second tier with sequential optimizations, circuit size downs, and another size design. Each higher user-selectable tier offers more complex optimizations and consumes additional processing time. An option to perform design constraints optimization (max fanout, max signal transition, and max capacitance) is also available. By utilizing a reduced set of performance optimizations, the present invention offers a post scan insertion compile technique that is fast enough to be practically used on chip level netlists. Hierarchical compilations for DFT are therefore allowed. Since the modified scan insertion procedure can operate in conjunction with a TR compiler of the present invention, the modified scan insertion procedure breaks loopback connections and generates proper scan chains. The scan insertion process of the present invention is compatible with netlists that contain a mixture of scan cells and non-scan cells.

79 citations


Proceedings ArticleDOI
Manoj Sachdev1, Botjo Atzema1
21 Oct 1995
TL;DR: The simulated as well as silicon data demonstrate the strengths of IFA based test methods in test cost reduction and structural test generation, however, some of the escaped devices suggest partial specification testing along with I FA based test is desirable from a quality aswell as economic point of view.
Abstract: Inductive Fault Analysis (IFA) for analog circuits has received considerable attention in recent years. IFA can be exploited for simplifying various aspects of analog testing. It can also be exploited towards design robustness against process defects, fault grading of a design and examining practicality of analog DfT schemes. In this article, we analyse both aspects of analog IFA with real life examples. Towards the test side, the simulated as well as silicon data demonstrate the strengths of IFA based test methods in test cost reduction and structural test generation. However, some of the escaped devices suggest partial specification testing along with IFA based test is desirable from a quality as well as economic point of view. Towards the design side, the simulation results highlight macros where DfT is needed most and help in determination of effective DfT schemes.

63 citations


Proceedings ArticleDOI
06 Mar 1995
TL;DR: It was observed for three different sequential test generators that the increase in complexity of testing is not due to those circuit attributes (namely sequential depth and cycles) which have traditionally been associated with such complexity.
Abstract: The research reported in this paper was conducted to identify those attributes, of both sequential circuits and structural, sequential automatic test pattern generation (ATPG) algorithms, which can lead to extremely high test generation times. The retiming transformation is used as a mechanism to create two classes of circuits which present varying degrees of complexity for test generation. It was observed for three different sequential test generators that the increase in complexity of testing is not due to those circuit attributes (namely sequential depth and cycles) which have traditionally been associated with such complexity. Evidence is instead provided that another circuit attribute, termed density of encoding, is a key indicator of the complexity of structural, sequential ATPG. >

63 citations


Proceedings ArticleDOI
30 Apr 1995
TL;DR: This work presents, for the first time, complete functional circuit models and tests for representative 74X-series and ISCAS-85 benchmark circuits, and applies the proposed methodology to them, demonstrating that functional testing can, with far less effort, produce test sets that provide complete coverage of SSL faults in practical circuits.
Abstract: A high-level fault modeling and testing philosophy is proposed which is aimed at ensuring full detection of low level, physical faults, as well as the industry-standard single stuck-line (SSL) faults. A set of independent functional faults and the corresponding functional tests are derived (induced) from the circuit under test; of particular interest are SSL-induced functional faults or SIFs. We present, for the first time, complete functional circuit models and tests for representative 74X-series and ISCAS-85 benchmark circuits, and apply the proposed methodology to them. These examples demonstrate that functional testing can, with far less effort than conventional method, produce test sets that provide complete coverage of SSL faults in practical circuits. Surprisingly, these test sets are also provably of minimal or near-minimal size.

61 citations


Proceedings ArticleDOI
23 Nov 1995
TL;DR: This paper proposes a programming scheme called block-sliced loading, which makes FPGAs C-testable, and presents two types of programming schemes; sequential loading and random access loading.
Abstract: A field-programmable gate array (FPGA) can implement arbitrary logic circuits in the field. In this paper we consider universal test such that when applied to an unprogrammed FPGA, it ensures that all the corresponding programmed logic circuits on the FPGA are fault-free. We focus on testing for look-up tables in FPGAs, and present two types of programming schemes; sequential loading and random access loading. Then we show test procedures for the FPGAs with these programming schemes and their test complexities. In order to make the test complexity for FPGAs independent of the array size of the FPGAs, we propose a programming scheme called block-sliced loading, which makes FPGAs C-testable.

60 citations


Proceedings ArticleDOI
21 Oct 1995
TL;DR: The testability, debuggability, and manufacturability features of the UltraSPARC-I microprocessor have helped enormously in achieving the time-to-volume goals and hence the overall success of the product.
Abstract: This paper describes the testability, debuggability, and manufacturability features of the UltraSPARC-I microprocessor. Due to the aggressive nature of this high performance design, these three areas needed to be addressed at the beginning of the project to ensure success. We present the goals and analysis that lead to our decisions as well as the actual features that were implemented. The features described in this paper have helped enormously in achieving the time-to-volume goals and hence the overall success of the product.

Journal ArticleDOI
TL;DR: This scheme can execute current testing during the normal circuit operation with very small impact on the performance of the circuit under test (CUT) and can be used to monitor the current-related faults of both CMOS and non-CMOS circuits.
Abstract: In this paper, a practical design for built-in current sensors (BICS's) is proposed. This scheme can execute current testing during the normal circuit operation with very small impact on the performance of the circuit under test (CUT). In addition, scalable resolutions and no external voltage/current reference make this design more effective and efficient than previous designs. Moreover this scheme can be used to monitor the current-related faults of both CMOS and non-CMOS circuits. Thus it is highly suitable for design for testability (DFT) on a multiple-chip module (MCM) or to be the current monitor on the test fixture under the quality test action group (QTAG) standard. >

Proceedings ArticleDOI
21 Oct 1995
TL;DR: Results show that when the testability insertion procedure is used to modify a behavior before synthesis, the resulting synthesized physical implementation is indeed more easily tested than an implementation synthesized directly from the original behavior.
Abstract: A method for test synthesis in the behavioral domain is described. The approach is based on the addition of test behavior, which is the behavior of the design in test mode. The normal-mode design behavior and test-mode test behavior are combined and synthesized together to produce a testable design with inserted BIST structures. Derivation of an appropriate test behavior uses analysis based on metrics that quantify the testability of signals embedded within behaviors. The synthesized circuit is tested using a behavioral test scheme which allows the test controller to be easily embedded within the system controller, and the entire datapath and controller to be easily tested together. Results show that when the testability insertion procedure is used to modify a behavior before synthesis, the resulting synthesized physical implementation is indeed more easily tested than an implementation synthesized directly from the original behavior.

Journal ArticleDOI
TL;DR: The author describe their incorporation of I/ sub DDQ/ testing into the design of the PA-7100LC PA-RISC microprocessor, and their 900,000-transistor custom design supports I/sub DDQ / testing to ensure high quality without compromising 100-MHz-plus performance.
Abstract: The author describe their incorporation of I/sub DDQ/ testing into the design of the PA-7100LC PA-RISC microprocessor. They also discuss design guidelines, measurement techniques, results after fabrication and volume production, and suggested improvement. Their 900,000-transistor custom design supports I/sub DDQ/ testing to ensure high quality without compromising 100-MHz-plus performance. >

Journal ArticleDOI
TL;DR: BETS, a behavioral test synthesis system, is introduced for the synthesis of high-throughput, area-efficient testable designs and provides a comprehensive analysis and a formal grammar characterization of the sources of loops in the data path during behavioral synthesis.
Abstract: We introduce BETS, a behavioral test synthesis system, for the synthesis of high-throughput, area-efficient testable designs. While hardware sharing is a powerful technique to achieve area efficiency, it may adversely affect the testability of the synthesized design by introducing new loops. Besides CDFG loops, hardware sharing introduces three other types of loops: assignment loops, sequential false loops, and register files cliques. We provide a comprehensive analysis and a formal grammar characterization of the sources of loops in the data path during behavioral synthesis. Partial scan is a cost-effective technique for sequential circuit testing. Hardware sharing of scan registers can be used to minimize the number of scan registers required to synthesize data paths with minimal number of loops. The scan registers can be shared amongst several variables of the CDFG, to break not only the loops in the CDFG, but also the very loops introduced in the data path by hardware sharing. A new random walk based algorithm is proposed to break all CDFG loops using a minimal number of scan registers. The subsequent scheduling and assignment phase avoids formation of loops in the data path by reusing the scan registers, while ensuring high resource utilization. The experimental results demonstrate the effectiveness of the new technique to synthesize easily testable data paths, with nominal hardware overhead, while maintaining the performance of the designs. The partial scan overhead incurred by the technique is significantly less than that of a gate-level partial scan approach. >

Proceedings ArticleDOI
30 Apr 1995
TL;DR: The micropipeline approach to designing asynchronous VLSI circuits has successfully been used in the AMULET1 microprocessor and a method to design and testmicropipelines is presented.
Abstract: The micropipeline approach to designing asynchronous VLSI circuits has successfully been used in the AMULET1 microprocessor. A method to design and test micropipelines is presented in this paper. The test strategy is based on the scan test technique. It allows the separate testing of all the data processing blocks by scanning the test patterns in and shifting the responses out of the stage registers. The proposed test approach provides for the detection of all single stuck-at and delay faults in the micropipeline. Tests for the combinational processing logic and state holding elements can be derived using standard test generation techniques.

Proceedings ArticleDOI
06 Mar 1995
TL;DR: A defect-oriented test methodology for mixed analog-digital circuits is proposed, shown that with simple tests 93% of the defects in this circuit can be detected and application of DfT guidelines derived from this test methodology may improve the defect coverage to 99%.
Abstract: Testing of analog blocks in digital circuits is emerging as a critical factor in the success of mixed-signal ICs. The present specification-oriented testing of these blocks results in high test costs and doesn't ensure detection of all defects, causing potential reliability problems. To solve these problems, in this paper a defect-oriented test methodology for mixed analog-digital circuits is proposed. The strength of the method is demonstrated by an implementation for a complex mixed-signal circuit, a flash analog-to-digital converter. It is shown that with simple tests 93% of the defects in this circuit can be detected. Moreover application of DfT guidelines derived from this test methodology may improve the defect coverage to 99%. First impressions lead to the conclusion that the analyzed test obtains a higher defect coverage with lower test costs than functional tests. >

Journal ArticleDOI
TL;DR: A register structure and generator design which enables non-scan sequential testing using parallel pseudorandom-based patterns applied to the circuit's primary inputs and indicates that high fault coverage is attainable in a relatively short test time.
Abstract: Presented is a register structure and generator design which enables non-scan sequential testing using parallel pseudorandom-based patterns applied to the circuit's primary inputs. The proposed register structure and register control strategy uses the circuit under test's (CUT's) natural sequential activity to periodically alter a register's output bias to a value near 0.5 (i.e. alter the spread of 1's in the output stream). Thus, over time, it is possible to introduce a larger spread circuit states than that normally reachable when parallel pseudorandom-based test patterns are applied to the input lines of a CUT. Using the register modification, a simple hardware generation system can be designed and is suitable for both on-chip and external testing. Experiments indicate that high fault coverage is attainable in a relatively short test time.

Proceedings ArticleDOI
21 Oct 1995
TL;DR: This paper presents the application of some hardware testability concepts to data-flow software and threefold aspect of testability is discussed and estimates are proposed.
Abstract: This paper presents the application of some hardware testability concepts to data-flow software. Testability is concerned with three difficulties: generating test sets, interpreting test results and diagnosing faults. This threefold aspect of testability is discussed and estimates are proposed.

Journal ArticleDOI
TL;DR: Partial-Scan based Built-In Self-Test (PSBIST) is a versatile Design for Testability (DFT) scheme, which employs pseudo-random BIST at all levels of test to achieve fault coverages greater than 98% on average, and supports deterministic partial scan at the IC level to achieve nearly 100% fault coverage.
Abstract: Partial-Scan based Built-In Self-Test (PSBIST) is a versatile Design for Testability (DFT) scheme, which employs pseudo-random BIST at all levels of test to achieve fault coverages greater than 98% on average, and supports deterministic partial scan at the IC level to achieve nearly 100% fault coverage. PSBIST builds its BIST capability on top a partial scan structure by adding a test pattern generator, an output data compactor, and a PSBIST controller in a way similar to that of deriving a full scan BIST from a full scan structure. However, to make the scheme effective, there is a minimum requirement regarding which flip-flops in the circuit should be replaced by scan flip-flops and/or initialization flip-flops. In addition, test arents are usually added to boost the fault coverage to the range of 95 to 100 percent. These test points are selected based on a novel probabilistic testability measure, which can be computed extremely fast for a special class of circuits. This ciass of circuits is precisely the type of circuits that we obtain after replacing some of the flip-flops.withscan and/or initilization flip-flops. The testability measure is also used for a very useful quick estimation of the fault coverage right after the selection of sean flip-flops, even before the circuit is modified to incorporate PSBIST capability. While PSBIST provides all the benefits of BIST, it incurs lower area overhead and performance degradation than full scan. The area overhead is further reduced when the boundary scan cells are reconfigured for BIST usage.

Proceedings ArticleDOI
04 Jan 1995
TL;DR: Genetic algorithms are used to generate compact test sets which limit the scan operations and show that significant reductions in test application time can be achieved, especially for partial scan circuits.
Abstract: Full scan and partial scan are effective design-for-testability techniques for achieving high fault coverage. However, test application time can be high if long scan chains are used. Reductions in test application time can be made if flip-flop values are not scanned in and out before and after every test vector is applied. Previous research has used deterministic fault-oriented combinational and sequential circuit test generators in generating test vectors and sequences and in deciding when to scan the flip-flops. In this work we use genetic algorithms to generate compact test sets which limit the scan operations. Results for the ISCAS89 sequential benchmark circuits show that significant reductions in test application time can be achieved, especially for partial scan circuits.

Proceedings ArticleDOI
21 Oct 1995
TL;DR: A new cost based method for the insertion of test points into sequential circuits is presented, especially suited for the design of an area efficient BIST using pseudorandom patterns.
Abstract: We present a new cost based method for the insertion of test points into sequential circuits. It is especially suited for the design of an area efficient BIST using pseudorandom patterns. Testability analysis is used to detect areas of poor testability and estimate the benefit of test points. The designer can trade this benefit against increased area. Experimental results show that small sets of test points are sufficient to reach a fault coverage greater than 99%.

Proceedings ArticleDOI
06 Mar 1995
TL;DR: It is shown that for embedded analogue blocks, the DfT strategy can not only improve and simplify analogue and mixed signal IC test, but can also be used for diagnostics.
Abstract: A new Design-for-Test (DfT) structure based on a configurable operational amplifier, referred to as a "swap amp" is presented that allows access to embedded analogue blocks. The structure has minimal impact on circuit performance and has been evaluated on a custom designed Phase Locked Loop (PLL) structure. A test chip containing faulty and fault free versions of this PLL structure, with and without DfT modifications, has been fabricated and an evaluation of this DfT scheme based on the swap-amp structure carried out. It is shown that for embedded analogue blocks, the DfT strategy can not only improve and simplify analogue and mixed signal IC test, but can also be used for diagnostics. >

Journal ArticleDOI
01 May 1995
TL;DR: This work addresses the problem of transforming a behavioral specification so that synthesis of a testable implementation from the new specification requires significantly less area and partial scan cost than synthesis from the original specification.
Abstract: We address the problem of transforming a behavioral specification so that synthesis of a testable implementation from the new specification requires significantly less area and partial scan cost than synthesis from the original specification. The proposed approach has three components: a library of relevant transformation mechanisms, an objective function, and an optimization algorithm. The most effective transformations for testability optimization are identified by analyzing the fundamental relationship between transformational mechanisms and topological and functional properties of the computations that affect testability. A dynamic, two-stage objective function that estimates the area and testability of the final implementation, and also captures enabling and disabling effects of the transformations, is developed. Optimization is done using a new randomized branch and bound steepest descent algorithm. Application of the transformation algorithm on several benchmark examples demonstrates significant simultaneous improvement in both area and testability of the final implementations. >

Proceedings ArticleDOI
06 Mar 1995
TL;DR: This method is based on the use of hardware sharing possibilities to improve the testability of the circuit without a time consuming re-synthesis process by incorporating test constraints during register allocation and interconnect network generation.
Abstract: This paper presents an attempt towards design quality improvement by incorporation of testability features during datapath high-level synthesis. This method is based on the use of hardware sharing possibilities to improve the testability of the circuit without a time consuming re-synthesis process. This is achieved by incorporating test constraints during register allocation and interconnect network generation. The main features of this method are: a test analysis at the behavioral level rather than at a structural one; the non limitation on the behavioral descriptions (loops, control constructs are supported); and the optimized test area overhead and CPU time compared to standard approach. The method was applied to several benchmarks resulting in easily testable designs for almost the same area costs as the original (without testability) designs. >

Proceedings ArticleDOI
02 Oct 1995
TL;DR: This work presents techniques that add minimal test hardware to the given register-transfer level (RTL) design obtained through behavioral synthesis in order to ensure that all the embedded modules in the circuit are hierarchically testable.
Abstract: Most behavioral synthesis and design for testability techniques target subsequent gate-level sequential test generation, which is frequently incapable of handling complex controller/data path circuits with large data path bit-widths. Hierarchical testing attempts to counter the complexity of test generation by exploiting information from multiple levels of the design hierarchy. We present techniques that add minimal test hardware to the given register-transfer level (RTL) design obtained through behavioral synthesis in order to ensure that all the embedded modules in the circuit are hierarchically testable. An important by-product of our DFT procedure is a system-level test set that is guaranteed to deliver pre-computed module test sets to each module in the RTL circuit. This eliminates the need to apply gate-level sequential test generation to the controller/data path. We performed extensive experiments with several complex data path/controller circuits synthesized by two different high level synthesis systems which do not target testability.

Journal ArticleDOI
TL;DR: The techniques presented in this paper help to minimize both testability overhead and test generation cost in bus-based circuits.
Abstract: Register-transfer level designs that are derived from high-level synthesis systems generally consist of functional blocks and registers that are interconnected by multiplexers and buses to maximize resource sharing These multiplexer and bus structures have the unique ability to behave asswitches, i.e., to logically partition the circuit when their control inputs are manipulated in different ways. The presence of switches, the selection of scan registers can be influenced. This leads to an efficient partial scan methodology presented in this paper. Second, switches help set up data transfer paths calledI-paths. By employingI-paths to transport test data, the functional logic in the circuit can be separated from the switching logic for the purpose of test generation. This can lead to a reduction in test generation costs for a partial scan design. Thus the techniques presented in this paper help to minimize both testability overhead and test generation cost in bus-based circuits. This methodology is implemented in the SIESTA system for serial scan design.

Journal ArticleDOI
TL;DR: A design-for-testability (DFT) approach for VLSI iterative logic arrays (ILA's) is proposed, which results in a small constant number of test patterns, and an output-assignment algorithm for minimizing the hardware overhead is proposed.
Abstract: A design-for-testability (DFT) approach for VLSI iterative logic arrays (ILA's) is proposed, which results in a small constant number of test patterns. Our technique applies to arrays with an arbitrary dimension, and to arrays with various connection types, e.g., hexagonal or octagonal ones. Bilateral ILA's are also discussed. The DFT technique makes general ILA's C-testable by using a truth-table augmentation approach. We propose an output-assignment algorithm for minimizing the hardware overhead. We give a CMOS systolic array multiplier as an example, and show that an overhead of no more than 5.88% is sufficient to make it C-testable, i.e., 100% single cell-fault testable with only 18 test patterns regardless of the word length of the multiplier. Our technique guarantees that the test set is easy to generate. Its corresponding built-in-self-test structures are also very simple. >

Journal ArticleDOI
TL;DR: A novel methodology based on reconfiguring a single scan chain to minimize the shifting time in applying test patterns to a device and to employ multiplexers to bypass registers that are not frequently accessed in the test process is presented.
Abstract: A major drawback in using scan techniques is the long test application times incurred in shifting test data in and out of a device. This problem assumes even greater significance with the rapid growth in both the number of test patterns and scan registers occurring in complex VLSI designs. This paper presents a novel methodology based on reconfiguring a single scan chain to minimize the shifting time in applying test patterns to a device. The main idea is to employ multiplexers to bypass registers that are not frequently accessed in the test process and hence reduce the overall test application time, For partitioned scan designs, we describe two different modes of test application which can be used to efficiently tradeoff the logic and routing overheads of the reconfiguration strategy with the test application time. In each case we provide detailed analysis and optimization techniques to minimize the number of added multiplexers and the corresponding test time. Implementation results on two data path circuits demonstrate test time reductions as large as 75% over traditional schemes at the expense of 1-3 multiplexers. >

Proceedings ArticleDOI
06 Mar 1995
TL;DR: Testability properties of circuits derived from Ordered Kronecker Functional Decision Diagrams are studied with respect to the Stuck-At Fault Model and the Cellular Fault model and circuits with high testability can be obtained.
Abstract: Summary form only given. Testability properties of circuits derived from Ordered Kronecker Functional Decision Diagrams (OKFDDs) are studied with respect to the Stuck-At Fault Model (SAFM) and the Cellular Fault Model (CFM). The computation of complete test sets and of all occurring redundancies can be done easily and efficiently and circuits with high testability can be obtained. >