scispace - formally typeset
Search or ask a question

Showing papers on "Digital electronics published in 2001"


01 May 2001
TL;DR: The Ptolemy project studied heterogeneous modeling, simulation, and design of concurrent systems, particularly those that mix technologies including, for example, analog and digital electronics, hardware and software, and electronics and mechanical devices.
Abstract: : The Ptolemy project studied heterogeneous modeling, simulation, and design of concurrent systems. The focus is on embedded systems, particularly those that mix technologies including, for example, analog and digital electronics, hardware and software, and electronics and mechanical devices (including MEMS, microelectromechanical systems). The focus is also on systems that are complex in the sense that they mix widely different operations such as signal processing, feedback control, sequential decision making, and user interfaces.

319 citations


Proceedings ArticleDOI
30 Oct 2001
TL;DR: A new way of diagnosing ICs that fail logic tests is described, which can handle bridging fault, opens, transition faults and many more complex defects as easily and as accurately as regular stuck-at faults.
Abstract: A new way of diagnosing ICs that fail logic tests is described. It can handle bridging fault, opens, transition faults and many more complex defects as easily and as accurately as regular stuck-at faults.

207 citations


Book
01 Oct 2001
TL;DR: This chapter discusses SOI CMOS Devices--Part I, PD SOI-Technology SPICE Models, and Fundamentals of SOICMOS Circuits.
Abstract: Preface. Acknowlegments. Introduction. SOI CMOS Devices--Part I. SOI CMOS Devices--Part II. Fundamentals of SOI CMOS Circuits. SOI CMOS Digital Circuits. SOI CMOS Analog Circuits. PD SOI-Technology SPICE Models. Index.

163 citations


Journal ArticleDOI
Y. Ye1, Kaushik Roy2
TL;DR: A new quasi-static energy recovery logic family (QSERL) using the principle of adiabatic switching is proposed in this paper, which uses two complementary sinusoidal supply clocks and resembles the behavior of static CMOS.
Abstract: A new quasi-static energy recovery logic family (QSERL) using the principle of adiabatic switching is proposed in this paper. Most of the previously proposed adiabatic logic styles are dynamic and require complex clocking schemes. The proposed QSERL uses two complementary sinusoidal supply clocks and resembles the behavior of static CMOS. Thus, switching activity is significantly lower than dynamic logic. In addition, QSERL circuits can be directly derived from static CMOS circuits. A high-efficiency clock generation circuitry, which generates two complementary sinusoidal clocks compatible to QSERL, is also presented in this paper. The adiabatic clock circuitry locks the frequency of clock signals, which makes it possible to integrate adiabatic modules into a VLSI system. We have designed an 8/spl times/8 carry-save multiplier using QSERL logic and two phase sinusoidal clocks. SPICE simulation shows that the QSERL multiplier can save 34% of energy over static CMOS multiplier at 100 MHz.

131 citations


Patent
18 Jul 2001
TL;DR: In this paper, a new digital configurable macro architecture is described, where the configuration of the programmable digital circuit block is determined by its small number of configuration registers, and changes in configuration are accomplished by changing the contents of the configuration registers.
Abstract: A new digital configurable macro architecture is described. The digital configurable macro architecture is well suited for microcontroller or controller designs. In particular, the foundation of the digital configurable macro architecture is a programmable digital circuit block. The programmable digital circuit blocks can be configured to coupled in series or in parallel to handle more complex digital functions. More importantly, the configuration of the programmable digital circuit block is determined by its small number of configuration registers. This provides much flexibility. In particular, the configuration of the programmable digital circuit block is fast and easy since changes in configuration are accomplished by changing the contents of the configuration registers, whereas the contents are generally a small number of configuration data bits. Thus, the programmable digital circuit block is dynamically configurable from one predetermined digital function to another predetermined digital function for real-time processing.

114 citations


Journal ArticleDOI
TL;DR: In this paper, an evolution-oriented field programmable transistor array (FPTA) is proposed, which allows evolutionary experiments with reconfiguration at various levels of granularity and can be used to automatically synthesize a variety of analog and digital circuits.
Abstract: Evolvable hardware (EHW) addresses on-chip adaptation and self-configuration through evolutionary algorithms. Current programmable devices, in particular the analog ones, lack evolution-oriented characteristics. This paper proposes an evolution-oriented field programmable transistor array (FPTA), reconfigurable at transistor level. The FPTA allows evolutionary experiments with reconfiguration at various levels of granularity. Experiments in SPICE simulations and directly on a reconfigurable FPTA chip demonstrate how the evolutionary approach can be used to automatically synthesize a variety of analog and digital circuits.

106 citations


Patent
02 Mar 2001
TL;DR: In this paper, the analog and digital circuits are implemented on two separate dies using possibly different IC processes suitable for these different types of circuits, and then integrated (stacked) and encapsulated within the single package.
Abstract: Techniques for fabricating analog and digital circuits on separate dies and stacking and integrating the dies within a single package to form a mixed-signal IC that provides many benefits. In one aspect, the analog and digital circuits are implemented on two separate dies using possibly different IC processes suitable for these different types of circuits. The analog and digital dies are thereafter integrated (stacked) and encapsulated within the single package. Bonding pads are provided to interconnect the dies and to connect the dies to external pins. The bonding pads may be located and arranged in a manner to provide the required connectivity while minimizing the amount of die area required to implement the pads. In another aspect, the die-to-die connectivity may be tested in conjunction with a serial bus interface.

103 citations


Journal ArticleDOI
TL;DR: The construction of physically homogeneous, undifferentiated hardware that is later, after manufacture, differentiated into various digital circuits achieves both the immediate goal of achieving specific CPU and memory architectures using atomic-scale switches as well as the larger goal of being able to construct any digital circuit, using the same fixed manufacturing process.
Abstract: Much effort has been put into the development of atomic-scale switches and the construction of computers from atomic-scale components. We propose the construction of physically homogeneous, undifferentiated hardware that is later, after manufacture, differentiated into various digital circuits. This achieves both the immediate goal of achieving specific CPU and memory architectures using atomic-scale switches as well as the larger goal of being able to construct any digital circuit, using the same fixed manufacturing process. Moreover, this opens the way to implementing fundamentally new types of circuit, including dynamic, massively parallel, self-modifying ones. Additionally, the specific architecture in question is not particularly complex, making it easier to construct than most other architectures. We have developed a computing architecture, the Cell MatrixTM, that fits this more attainable manufacturing goal, as well as a process for taking undifferentiated hardware and differentiating it efficiently and cheaply into desirable circuitry. The Cell Matrix is based on a single atomic unit called a cell, which is repeated over and over to form a multidimensional matrix of cells. In addition to being general purpose, the architecture is highly scalable, so much so that it appears to provide access to the differentiation and use of trillion trillion switch hardware. This is not possible with a field programmable gate array architecture, because its gate array is configured serially, and serial configuration of trillion trillion switch hardware would take years. This paper describes the cell in detail and describes how networks of cells in a matrix are used to create small circuits. It also describes a sample application of the architecture that makes beneficial use of high switch counts.

100 citations


Patent
07 Mar 2001
TL;DR: In this article, a digital phase lock loop (PLL) constructed from an all-digital circuit implementation and standard cell construction is presented, which includes a digital DLL including a plurality of delay chains, each of the delay chains including at least one digitally programmable delay element.
Abstract: A system includes a digital phase lock loop (PLL) constructed from an all digital circuit implementation and standard cell construction. The digital PLL includes a digital frequency synthesizer and a digital phase detector. The digital frequency synthesizer includes a digital DLL including a plurality of delay chains, each of the delay chains including at least one digitally programmable delay element for configuring the plurality of delay chains to achieve a phase lock with an input reference signal. The digital frequency synthesizer also a non-glitching MUX electrically coupled to the digital DLL for selecting a tap output from one of the at least one digitally programmable delay element to select at least one pulse glitch-free from the selected output tap, and a phase accumulator electrically coupled to the non-glitching MUX for precisely dividing a timing period of the input reference signal and for selecting a tap output from one of the at least one digitally programmable delay element to select at least one pulse at a precise point in the timing period from the output tap. The digital phase detector, is electrically coupled to the digital frequency synthesizer to compare an edge of the input reference signal to an edge of a synthesized signal to provide a digital code information representing a phase error between the edge of the input reference signal and the edge of the synthesized signal.

86 citations


Patent
18 Apr 2001
TL;DR: In this article, the I/O pins can be configured for digital or analog operation on the fly by using a processor-controlled configuration circuit to process either analog or digital circuits.
Abstract: An integrated circuit providing mixed signal processing. I/O pin interface circuits include logic gates and other circuits for processing digital and analog signals. Processor-controlled configuration circuits allow the various I/O pin interface circuits to process either analog or digital circuits. The I/O pins can be configured for digital or analog operation on the fly.

83 citations


Book
01 Apr 2001
TL;DR: Substrate Noise Analysis and Optimization for IC Design addresses the main problems posed by substrate noise from both an IC and a CAD designer perspective, along with the mechanisms underlying substrate noise generation, injection, and transport as mentioned in this paper.
Abstract: In the past decade, substrate noise has had a constant and significant impact on the design of analog and mixed-signal integrated circuits. Only recently, with advances in chip miniaturization and innovative circuit design, has substrate noise begun to plague fully digital circuits as well. To combat the effects of substrate noise, heavily over-designed structures are generally adopted, thus seriously limiting the advantages of innovative technologies. Substrate Noise: Analysis and Optimization for IC Design addresses the main problems posed by substrate noise from both an IC and a CAD designer perspective. The effects of substrate noise on performance in digital, analog, and mixed-signal circuits are presented, along with the mechanisms underlying noise generation, injection, and transport. Popular solutions to the substrate noise problem and the trade-offs often debated by designers are extensively discussed. Non-traditional approaches as well as semi-automated techniques to combat substrate noise are also addressed. Substrate Noise: Analysis and Optimization for IC Design will be of interest to researchers and professionals interested in signal integrity, as well as to mixed signal and RF designers.

Journal ArticleDOI
P. Larsson1
TL;DR: In this paper, the main mechanisms for noise coupling are identified by comparing different PLLs and varying their bandwidths, and it is found that the main cause of jitter strongly depends on the power supply configuration of the PLL.
Abstract: When integrating analog and digital circuits onto a mixed-mode chip, power supply noise coupling is a major limitation on the performance of the analog circuitry. Several techniques exist for reducing the noise coupling, of which one of the cheapest is separating the power supply distribution networks for the analog and digital circuits. Noise coupling from a digital noise-generating circuit through the power supply/substrate into an analog phase-locked loop (PLL) is analyzed for three different power supply schemes. The main mechanisms for noise coupling are identified by comparing different PLLs and varying their bandwidths. It is found that the main cause of jitter strongly depends on the power supply configuration of the PLL. Measurements were done on mixed-mode designs in a standard 0.25-/spl mu/m digital CMOS process with a low-resistivity substrate. The same circuits were also implemented with triple-well processing for comparisons.

Journal ArticleDOI
TL;DR: Gate-level pipelining techniques are developed to design throughput-optimal delay-insensitive digital systems using NULL convention logic (NCL), yielding a speedup of 2.25 over the non-pipelined version, while maintaining delay insensitivity.

Proceedings ArticleDOI
12 Sep 2001
TL;DR: GDI (gate diffusion input)-a new technique of low power digital combinatorial circuit design-is described, which allows reducing power consumption, delay and area of digital circuits, while maintaining low complexity of logic design.
Abstract: GDI (gate diffusion input)-a new technique of low power digital combinatorial circuit design-is described. This technique allows reducing power consumption, delay and area of digital circuits, while maintaining low complexity of logic design. A detailed design methodology is described. Performance comparison with traditional CMOS and PTL design techniques is presented. The different methods are compared with respect to the layout area, number of devices, delay and power dissipation. Several logic circuits have been implemented in various design styles. Their properties are discussed, simulation results are reported.

01 Jan 2001
TL;DR: The synthesis method to RPGAs allows to realize arbitrary symmetric function in a completely regular structure of reversible gates with smaller “garbage” than the previously presented papers.
Abstract: We introduce a Reversible Programmable Gate Array (RPGA) based on regular structure to realize binary functions in reversible logic. This structure, called a 2 * 2 Net Structure, allows for more efficient realization of symmetric functions than the methods shown by previous authors. In addition, it realizes many non-symmetric functions even without variable repetition. Our synthesis method to RPGAs allows to realize arbitrary symmetric function in a completely regular structure of reversible gates with smaller “garbage” than the previously presented papers. Because every Boolean function is symmetrizable by repeating input variables, our method is applicable to arbitrary multi-input, multi-output Boolean functions and realizes such arbitrary function in a circuit with a relatively small number of garbage gate outputs. The method can be also used in classical logic. Its advantages in terms of numbers of gates and inputs/outputs are especially seen for symmetric or incompletely specified functions with many outputs.

Journal ArticleDOI
01 May 2001
TL;DR: A unified formal representation of logic controllers with three control modes is provided using Petri nets (PNs) and a modular logic controller structure is introduced and formalized for high-volume transfer lines.
Abstract: Logic controllers for machining systems typically have three control modes: auto, hand and manual. In this paper, a unified formal representation of logic controllers with three control modes is provided using Petri nets (PNs). A modular logic controller structure is introduced and formalized for high-volume transfer lines. The modular logic controller consists of one control module for the mode decision and other control modules for station logic controllers. Each station control module is represented by connecting together operation modules, which are designed with respect to the fault recovery processes of operations; their connection algorithm is also provided. In our formal representation, each control module is represented by a live, safe and reversible PN. A condition for the modular logic controller to generate a correct control logic is provided: the operation causality condition. Using the modular structure of a logic controller, the control logic can be easily reconfigured and automatic code generation is possible.

Proceedings ArticleDOI
22 Aug 2001
TL;DR: This work expresses the classification rules as Boolean logic equations, build binary decision diagrams for these equations, and then map the BDDs to a logic network consisting of a pipeline of static RAM banks that generalizes to classifying packets on multiple fields.
Abstract: We present a solution to the problem of quickly classifying packets. Our approach is based on techniques from logic synthesis. Specifically, we express the classification rules as Boolean logic equations, build binary decision diagrams for these equations, and then map the BDDs to a logic network consisting of a pipeline of static RAM banks. We illustrate our approach by applying it to the longest prefix matching for IP forwarding, and present evidence that our scheme can perform a billion matches per second on a CAIDA backbone forwarding table containing 60,000 prefixes. We show how our approach generalizes to classifying packets on multiple fields.

Patent
Michael M. Green1
16 Apr 2001
TL;DR: In this article, the authors present a method and circuitry for converting a differential logic signal to a single-ended logic signal that minimizes delay, using the regenerative action of a CMOS latch.
Abstract: Method and circuitry for converting a differential logic signal to a single-ended logic signal that minimize delay. In specific embodiments differential logic signals of the type employed in, for example, current-controlled complementary metal-oxide-semiconductor (C 3 MOS) logic are converted to single-ended rail-to-rail CMOS logic levels using the regenerative action of a CMOS latch.

Proceedings ArticleDOI
16 Nov 2001
TL;DR: A digital circuit synthesis algorithm specialized for the domain of pattern matching circuits implemented in reconfigurable logic is described, and its fitness to handle the demands placed on them by high-speed networks is evaluated.
Abstract: We describe a digital circuit synthesis algorithm specialized for the domain of pattern matching circuits implemented in reconfigurable logic. We propose to use this algorithm as part of a system for implementing high-throughput pattern classification, for instance as part of a packet filter in an internetwork router. The goals of the approach are throughputs on the order of 100M classifications per second with reconfiguration times (including all synthesis) being held to a minimum.We evaluate the algorithms using rulesets from a pattern classification problem in networking, IP firewalling (150 rules on 100 bits), and evaluate their fitness to handle the demands placed on them by high-speed networks. In addition we use synthetic rulesets in an attempt to explore the scalability of our algorithm.

Patent
09 Oct 2001
TL;DR: In this article, a decimator circuit for implementing a digital algorithm such as a decimation algorithm is described, which is well suited for digital circuits such as delta-sigma (or sigma-delta) analog-to-digital converter.
Abstract: A new architecture for implementing a digital algorithm such as a decimation algorithm is described. The new decimator circuit is well suited for digital circuits such as a delta-sigma (or sigma-delta) analog-to-digital converter. In particular, the new decimator circuit incorporates a general purpose architecture which enables a wide range of flexibility to change and modify the decimation algorithm performed by the decimator circuit. Moreover, the new decimator circuit can be fabricated in a smaller chip area than previously possible.

Journal ArticleDOI
TL;DR: The rationale for vMOS-based realizations of digital circuits when logic design techniques based on threshold logic gates are used and the proposed new realization exhibits better performance related to delay and area and power consumption than the traditional logic implementation.
Abstract: This paper presents the rationale for vMOS-based realizations of digital circuits when logic design techniques based on threshold logic gates are used. Some practical problems in the vMOS implementation of threshold gates have been identified and solved. The feasibility and versatility of the proposed technique as well as its potential as a low-cost design technique for CMOS technologies have been shown by experimental results from a multiple-input Muller C-element. The proposed new realization exhibits better performance related to delay and area and power consumption than the traditional logic implementation.

Proceedings ArticleDOI
06 May 2001
TL;DR: Simulation results show that skew-tolerant high- speed domino logic is more robust to noise and timing variation than high-speed domino Logic, while achieving better performance.
Abstract: This paper presents skew-tolerant high-speed domino logic. Skew-tolerant high-speed domino logic resolves the floating dynamic node problem of high-speed domino logic and alleviates the strict clock timing requirement. Simulation results show that skew-tolerant high-speed domino logic is more robust to noise and timing variation than high-speed domino logic, while achieving better performance.

01 Jan 2001
TL;DR: This dissertation focuses on optimization methods for NCL circuits, specifically addressing three related architectural areas of NCL design: speed, transistor count, and power trade-offs using approaches that are readily automatable.
Abstract: NULL Convention Logic (NCL) provides an asynchronous design methodology employing dual-rail signals, quad-rail signals, or other Mutually Exclusive Assertion Groups (MEAGs) to incorporate data and control information into one mixed path. In NCL, the control is inherently present with each datum, so there is no need for worse-case delay analysis and control path delay matching. This dissertation focuses on optimization methods for NCL circuits, specifically addressing three related architectural areas of NCL design. First, a design method for optimizing NCL circuits is developed. The method utilizes conventional Boolean minimization followed by table-driven gate substitutions. It is applied to design time and space optimal fundamental logic functions, a time and space optimal full adder, and time, transistor count, and power optimal up-counter circuits. The method is applicable when composing logic functions where each gate is a state-holding element; and can produce delay-insensitive circuits requiring less area and fewer gate delays than alternative gate-level approaches requiring full minterm generation. Second, a pipelining method for producing throughput optimal NCL systems is developed. A relationship between the number of gate delays per stage and the worse-case throughput for a pipeline as a whole is derived. The method then uses this relationship to minimize a pipeline's worse-case throughput by partitioning the NCL combinational circuitry through the addition of asynchronous registers. The method is applied to design a maximum throughput unsigned multiplier, which yields a speedup of 2.25 over the non-pipelined version, while maintaining delay-insensitivity. Third, a technique to mitigate the impact of the NULL cycle is developed. The technique further increases the maximum attainable throughput of a NCL system by reducing inherent overheads associated with an integrated data and control path. This technique is applied to a non-pipelined 4-bit by 4-bit unsigned multiplier to yield a speedup of 1.61 over the standalone version. Finally, these techniques are applied to design a 72 + 32 × 32 multiply and accumulate (MAC) unit, which outperforms other delay-insensitive/self-timed MACs in the literature. It also performs conditional rounding, scaling, and saturation of the output, whereas the others do not; thus further distinguishing it from the previous work. The methods developed facilitate speed, transistor count, and power trade-offs using approaches that are readily automatable.

Patent
Quentin P. Herr1
15 Jun 2001
TL;DR: In this article, an asynchronous SFQ logic cell that is amenable to being used in combinational logic circuits is presented. But, instead of encoding each digital logic bit as one SFQ pulse, each logic bit is encoded as a series of SFQ pulses.
Abstract: An asynchronous SFQ logic cell that is amenable to being used in combinational logic circuits. Rather than encode each digital logic bit as one SFQ pulse, each logic bit is encoded as a series of SFQ pulses. As such, merge and join circuits can be used for elementary logic cells to form asynchronous combinational logic circuits in accordance with the present invention. Such circuits are relatively faster and denser as well as more compatible with existing synchronous SFQ logic circuits.

Proceedings ArticleDOI
30 Jan 2001
TL;DR: A technique for minimizing the overall sum of switching probabilities is presented and the resulting circuit that is obtained by mapping the BDD to CMOS Pass Transistors has in simulation using a commercially available process model) shown reduced power dissipation characteristic.
Abstract: The minimization of power consumption is an important design constraint for circuits used in portable devices. The switching activity of a circuit node in a CMOS digital circuit directly contributes to overall power dissipation. By approximating the switching activity of circuit nodes as internal switching probabilities in binary decision diagrams (BDDs), it is possible to estimate the dynamic power dissipation characteristic of circuits resulting from a structural mapping of a BDD. A technique for minimizing the overall sum of switching probabilities is presented. The method is based on efficient local operations on a BDD representing the functionality of the circuit to be realized. The resulting circuit that is obtained by mapping the BDD to CMOS pass transistors has in simulation (using a commercially available process model) shown reduced power dissipation characteristic. Experimental results on a set of MCNC benchmarks are given for this technique.

Patent
23 Feb 2001
TL;DR: In this paper, an improved operating system architecture for an implantable medical device incorporating self-timed logic for reducing power consumption and increasing and improving processing capabilities is disclosed. But the implementation of the self-time logic is not discussed.
Abstract: Improved operating system architecture for an implantable medical device incorporating self-timed logic for reducing power consumption and increasing and improving processing capabilities is disclosed. The self-timed logic is employed to implement digital signal processors (DSPs) including analog to digital (ADC) signal converters, a state machine or the components of microprocessor cores, e.g., the CPU, arithmetic logic units (ALU),on-chip RAM and ROM and data and control buses, and other logic units, e.g., additional RAM and ROM, a direct memory address (DMA) controller, a block mover/reader, a cyclic redundancy code (CRC) calculator, and certain uplink and downlink telemetry signal processing stages. The self-timed CMOS logic is incorporated into the same IC or ICs with clocked CMOS logic in a manner that minimizes the size of the clock tree serving the clocked CMOS logic, allows for efficient allocation of chip real estate, and provides manufacturing economies.

Journal ArticleDOI
01 Mar 2001
TL;DR: A modified method to construct adiabatic logic is introduced and the applicability of a one-phase power clock was studied, achieving 77% power saving compared to a conventional CMOS logic.
Abstract: A modified method to construct adiabatic logic is introduced. Advantages of this circuitry over most of the previous ones is that logic behaves in a static mode. In the present research the applicability of a one-phase power clock was studied. The functionality was guaranteed by having the power source frequency much higher than the logic frequency. The new logic gates do not differ much from any standard CMOS logic gates. The only difference is the use of diodes to form logical `1' and `0' states. The static nature of the introduced logic family makes possible to apply the charge recycling technic to other more complex digital circuits and systems. In measurements 77% power saving was achieved compared to a conventional CMOS logic.

Patent
29 Jun 2001
TL;DR: In this article, the authors present an integrated circuit with a small-scale digital circuit that can be constituted only of a small scale digital circuit, changing spread bands of frequency after it is mounted on a substrate by a designer of the substrate and executing EMI countermeasure without changing an LSI circuit by changing frequency bands based on the result of EMI measurement.
Abstract: PROBLEM TO BE SOLVED: To provide a semiconductor integrated circuit device capable of being constituted only of a small scale digital circuit, changing spread bands of frequency after it is mounted on a substrate by a designer of the substrate and executing EMI countermeasure without changing an LSI circuit by changing frequency bands based on the result of EMI measurement SOLUTION: This semiconductor integrated circuit device is provided with a delay array 011 to input a clock and to generate plural clocks, a clock selecting circuit 026 to input the plural clocks and a control circuit 020 to select and control a clock to be outputted from the clock selecting circuit 026 from the plural clocks

Proceedings ArticleDOI
24 Oct 2001
TL;DR: This paper extends the CMOS standard cells characterization methodology for defect based testing to find the types of faults which may occur in a real IC, to determine their probabilities, and to finding the input test vectors which detect these faults.
Abstract: This paper extends the CMOS standard cells characterization methodology for defect based testing. The proposed methodology allows to find the types of faults which may occur in a real IC, to determine their probabilities, and to find the input test vectors which detect these faults. For shorts at the inputs two types of cell simulation conditions - "Wired-AND" and "Wired-OR" are used. Examples of industrial standard cells characterization indicate that a single logic fault probability table is not sufficient. Separate tables for " Wired-AND " and " Wired-OR" conditions at the inputs are needed for full characterization and hierarchical test generation.

Book
01 Jan 2001
TL;DR: This dissertation compared different approaches to providing fault tolerance against radiation effects and developed new techniques for fault tolerance and radiation characterization of systems and designed and implemented software techniques for detecting, correcting and recovering from errors.
Abstract: Radiation, such as alpha particles and cosmic rays, can cause transient faults in electronic systems. Such faults cause errors called Single-Event Upsets (SEUs). SEUs are a major source of errors in electronics used in space applications. There is also a growing concern about SEUs at ground level for deep submicron technologies. In this dissertation, we compared different approaches to providing fault tolerance against radiation effects and developed new techniques for fault tolerance and radiation characterization of systems. Estimating the SEU error rate of individual units of a digital circuit is very important in designing a fault-tolerant system. We developed a new software method that uses weighted test programs and multiple linear regression for SEU characterization of digital circuits. We also show how errors in bistables can be distinguished from errors in combinational logic by operating a sequential circuit at different clock frequencies. Radiation hardening is a fault avoidance technique used for electronic components used in space. However, these components are expensive and lag behind today's commercial components in terms of performance. Using Commercial Off-The-Shelf (COTS) components, as opposed to radiation-hardened components, has been suggested for providing the higher computing power that is required for autonomous navigation and on-board data processing in space. We compared these two approaches in an actual space experiment. We collected errors from two processor boards, one radiation-hardened and one COTS, on board the ARGOS satellite. We designed and implemented software techniques for detecting, correcting and recovering from errors. We demonstrated that the reliability of COTS components can be enhanced by using software techniques without changing the hardware. Despite the 170% time overhead of the software techniques used on the COTS board, the throughput of the COTS board was an order of magnitude higher than that of the radiation-hardened board. The throughput of the radiation-hardened board would be the same as that of the COTS board if the radiation-hardened board had cache memory. We also developed a new technique for tolerating permanent faults in cache memories. The main advantage of this technique is its low performance degradation even in the presence of a large number of faults.