scispace - formally typeset
Search or ask a question

Showing papers on "Digital electronics published in 2009"


Proceedings ArticleDOI
24 May 2009
TL;DR: This work presents a current-mode conductancebased neuron circuit, with spike-frequency adaptation, refractory period, and bio-physically realistic dynamics which is compact, low-power and compatible with fast asynchronous digital circuits.
Abstract: Silicon neuron circuits emulate the electrophysiological behavior of real neurons. Many circuits can be integrated on a single Very Large Scale Integration (VLSI) device, and form large networks of spiking neurons. Connectivity among neurons can be achieved by using time multiplexing and fast asynchronous digital circuits. As the basic characteristics of the silicon neurons are determined at design time, and cannot be changed after the chip is fabricated, it is crucial to implement a circuit which represents an accurate model of real neurons, but at the same time is compact, low-power and compatible with asynchronous logic. Here we present a current-mode conductancebased neuron circuit, with spike-frequency adaptation, refractory period, and bio-physically realistic dynamics which is compact, low-power and compatible with fast asynchronous digital circuits.

128 citations


Proceedings ArticleDOI
29 May 2009
TL;DR: An ADC architecture that uses a VCO-based time-domain quantizer is presented, which achieves the necessary linearity in the feedback path without DEM or calibration, and allows a low output rate of 250MS/s.
Abstract: Low-power, small-area, 20MHz-BW ADCs that can be integrated in nanoscale CMOS technologies are of immense interest to the wireless communication industry. Implementation of high-performance analog circuits in nanometric technologies faces several challenges [1]. Time-domain digital signal processing (TDSP) [2] can be used as an alternative for some analog circuits to overcome these challenges. The TDSP technique utilizes the high timing resolution available in nanoscale technologies, and can be implemented using digital circuits that are inherently less susceptible to noise. Circuits using this technique also become faster, smaller and consume less power with technology scaling. Hence, solutions using TDSP with as many digital circuits as possible are desired. An ADC architecture that uses a VCO-based time-domain quantizer is presented in [3]. This architecture uses a conventional feedback element (multi-element DAC with DEM) and 950MHz sample rate that leads to high power consumption. In this work, a pulse-width modulator (PWM) and an all-digital time-to-digital converter (TDC) are used to implement the quantizer as well as the feedback element in the time domain. This approach achieves the necessary linearity in the feedback path without DEM or calibration, and allows a low output rate of 250MS/s.

102 citations


Journal ArticleDOI
TL;DR: A methodology for energy-delay optimization of digital circuits is presented and the result of the optimization is demonstrated on a design of the fastest adder found, a 240-ps Ling sparse domino adder in 1 V, 90 nm CMOS.
Abstract: A methodology for energy-delay optimization of digital circuits is presented. This methodology is applied to minimizing the delay of representative carry-lookahead adders under energy constraints. Impact of various design choices, including the carry-lookahead tree structure and logic style, are analyzed in the energy-delay space and verified through optimization. The result of the optimization is demonstrated on a design of the fastest adder found, a 240-ps Ling sparse domino adder in 1 V, 90 nm CMOS. The optimality of the results is assessed against the impact of technology scaling.

98 citations


Journal ArticleDOI
TL;DR: A new type of deterministic (non-probabilistic) computer logic system inspired by the stochasticity of brain signals is shown, which has reduced energy dissipation and leakage current problems, and robustness against circuit noise and background noises.

79 citations


Proceedings ArticleDOI
06 Apr 2009
TL;DR: Simulations demonstrate that the model has been developed in Verilog-A language and implemented on Cadence Virtuoso platform and can be efficiently used to design hybrid MTJ/CMOS circuits.
Abstract: The integration of Magnetic Tunnel Junctions (MTJ) above CMOS circuits in embedded Magnetic RAM (MRAM) or Magnetic FPGA (MFPGA) could bring to digital circuits major advantages associated to non-volatile capability such as instant on/off, multi-context FPGA and zero standby power consumption. A complete simulation model for the hybrid MTJ/CMOS design is presented in this paper. Based on the recently demonstrated Spin-Transfer Torque (STT) writing approach which promises to lower the switching current down to ∼120uA, we have added to the previous static model the dynamic behaviors as well as the switching probability and the thermal effects. The model has been developed in Verilog-A language and implemented on Cadence Virtuoso platform. Many experimental parameters are included in this model to improve the simulation accuracy. Simulations demonstrate that the model can be efficiently used to design hybrid MTJ/CMOS circuits.

76 citations


Journal ArticleDOI
TL;DR: In this article, a logic gate implementation using a linear resistor, a linear capacitor and four CMOS-transistors with a battery is presented, which produces cubic-like nonlinearity.
Abstract: It was shown recently [Murali et al., Phys. Rev. Lett. 102, 104101 (2009)] that when one presents two square waves as input to a two-state system, the response of the system can produce a logical output (NOR/OR) with a probability controlled by the interplay between the system noise and the nonlinearity (that characterizes the bistable dynamics). One can switch or “morph” the output into another logic operation (NAND/AND) whose probability displays analogous behavior; the switching is accomplished via a controlled symmetry-breaking dc input. Thus, the interplay of nonlinearity and noise yields flexible and reliable logic behavior, and the natural outcome is, effectively, a logic gate. This “logical stochastic resonance” is demonstrated here via a circuit implementation using a linear resistor, a linear capacitor and four CMOS-transistors with a battery to produce a cubiclike nonlinearity. This circuit is simple, robust, and capable of operating in very high frequency regimes; further, its ease of implementation with integrated circuits and nanoelectronic devices should prove very useful in the context of reliable logic gate implementation in the presence of circuit noise.

74 citations


Journal ArticleDOI
TL;DR: This paper is presenting a novel fault-tolerant voter circuit which itself can tolerate a fault and give error free output by improving the overall system’s reliability.

70 citations


Journal ArticleDOI
TL;DR: In this article, the impact of discrete dopants on device characteristics is investigated in 16-nm-gate CMOS circuits, and the authors provide an insight into random-dopant-induced intrinsic timing fluctuations, which can, in turn, be used to optimize nanoscale MOS field effect transistor circuits.
Abstract: The impact of the number and position of discrete dopants on device characteristics is crucial in determining the transient behavior of nanoscale circuits. An experimentally validated coupled device-circuit simulation was conducted to investigate the discrete-dopant-induced timing-characteristic fluctuations in 16-nm-gate CMOS circuits. The random-doping effect may induce 18.9% gate-capacitance fluctuation, affecting the intrinsic device gate delay and circuit timing. For a 16-nm-gate CMOS inverter, 0.036-, 0.021-, 0.105-, and 0.108-ps fluctuations in rise time, fall time, low-to-high delay time, and high-to-low delay time are found. The timing fluctuations of NAND and NOR circuits are increased, as the number of transistors increased. Because of the same number of transistors in circuits, the timing fluctuation of NAND and NOR are expected to be similar. However, due to the different function and device operation status of circuit, the timing fluctuation is quite different. The function- and circuit-topology-dependent characteristic fluctuations caused by random nature of discrete dopants are found. This paper provides an insight into random-dopant-induced intrinsic timing fluctuations, which can, in turn, be used to optimize nanoscale MOS field-effect-transistor circuits.

65 citations


Journal ArticleDOI
TL;DR: A probabilistic model is presented which incorporates processing and design parameters and enables quantitative analysis of the impact of metallic CNTs on leakage, noise margin, and delay variations of CNFET-based digital logic circuits and provides design and processing guidelines for very large scale integration (VLSI)-scale metallic-CNT-tolerant digital circuits.
Abstract: Metallic carbon nanotubes (CNTs) pose a major barrier to the design of digital logic circuits using CNT field-effect transistors (CNFETs). Metallic CNTs create source to drain shorts in CNFETs, resulting in undesirable effects such as excessive leakage and degraded noise margins. No known CNT growth technique guarantees 0% metallic CNTs. Therefore, special processing techniques are required for removing metallic CNTs after CNT growth. This paper presents a probabilistic model which incorporates processing and design parameters and enables quantitative analysis of the impact of metallic CNTs on leakage, noise margin, and delay variations of CNFET-based digital logic circuits. With practical constraints on these key circuit performance metrics, the model provides design and processing guidelines that are required for very large scale integration (VLSI)-scale metallic-CNT-tolerant digital circuits.

62 citations


Journal ArticleDOI
TL;DR: It is shown that the logic hyperspace (product) vectors defined in the introductory paper can be generalized to provide the discrete superposition of 2 N orthogonal system states, equivalent to a multi-valued logic system with 2 2 N logic values per wire.

61 citations


Journal ArticleDOI
TL;DR: In this article, the authors proposed a simple complementary device model for band-to-band tunneling (BTBT) nanowire FETs suitable for multitransistor circuit simulation and evaluate its performance in the ballistic limit.
Abstract: Band-to-band tunneling (BTBT) nanowire FETs have been studied as a possible successor to CMOS FETs. In the literature, it has already been shown that a 1-D p+-i- n+-type semiconductor nanowire governed by a BTBT transport mechanism offers a subthreshold swing lower than the conventional limit of 60 mV/dec while maintaining a reasonable on-state performance. The concept of BTBT nanowire FETs is primitive, and the manufacturing process is nascent. In the absence of a suitable device model and/or a reliable circuit simulator, the evaluation and impact of such novel transistors are difficult to estimate. In this paper, we propose a simple complementary device model for BTBT nanowire FETs suitable for multitransistor circuit simulation and evaluate its performance in the ballistic limit. The device models so developed have been used to simulate a class digital logic circuits and dynamic memories (e.g., DRAM) to analyze their suitability in future very large scale integration design. Circuit level simulations explicitly show that the proposed p+ -i-n+-type BTBT nanowire FETs are well suited for medium throughput (approximately hundreds of kilohertz to a few tens of megahertz) ultra-low-power applications. The standby leakage power in memory and logic circuits has been found to be as low as 10-20 W due to the inherent super cutoff nature of the device. The presence of interconnect parasitics in parallel with intrinsic device capacitance severely limits the performance of digital circuits. The impact of interconnect parasitics on the performance of BTBT nanowire FETs has also been studied.

Proceedings ArticleDOI
29 May 2009
TL;DR: SubJPEG as mentioned in this paper is a state-of-the-art multi-standard 65nm CMOS JPEG encoding coprocessor that enables ultra-wide V DD scaling with only 1.3pJ/operation energy consumption.
Abstract: Many digital ICs can benefit from sub/near threshold operations that provide ultra-low-energy/operation for long battery lifetime. In addition, sub/near threshold operation largely mitigates the transient current hence lowering the ground bounce noise. This also helps to improve the performance of sensitive analog circuits on the chip, such as delay-lock loops (DLL), which is crucial for the functioning of large digital circuits. However, aggressive voltage scaling causes throughput and reliability degradation. This paper presents SubJPEG, a state of the art multi-standard 65nm CMOS JPEG encoding coprocessor that enables ultra-wide V DD scaling. With a 0.45V power supply, it delivers 15fps 640×480 VGA application with only 1.3pJ/operation energy consumption per DCT and quantization computation. This co-processor is very suitable for applications such as digital cameras, portable wireless and medical imaging. To the best of our knowledge, this is the largest sub-threshold processor so far.

Journal ArticleDOI
TL;DR: A hierarchical methodology to model neutron-induced soft errors in both the device and logic levels is proposed and a new approach to estimating the SER of logic circuits that attempts to capture electrical, logic, and latch window masking concurrently is proposed.
Abstract: Radiation-induced soft errors in combinational logic is expected to become as important as directly induced errors on state elements. Consequently, it has become important to develop techniques to quickly and accurately predict soft-error rates (SERs) in combinational circuits. In this work, we present methodologies to model soft errors in both the device and logic levels. At the device level, a hierarchical methodology to model neutron-induced soft errors is proposed. This model is used to create a transient current library, which will be useful for circuit-level soft-error estimation. The library contains the transient current response to various different factors such as ion energies, operating voltage, substrate bias, angle, and location of impact. At the logic level, we propose a new approach to estimating the SER of logic circuits that attempts to capture electrical, logic, and latch window masking concurrently. The average error of the SER estimates using our approach, compared to the estimates obtained using circuit-level simulations, is 6.5 percent while providing an average speedup of 15,000. We have demonstrated the scalability of our approach using designs from the ISCAS-85 benchmarks.

Proceedings ArticleDOI
22 Dec 2009
TL;DR: This paper discusses techniques, limitations and possible future developments of circuits based on transistors operated in the weak inversion (w.i.) mode, also called sub-threshold mode, which can provide the ultimate speed/power ratio in a given process.
Abstract: This paper discusses techniques, limitations and possible future developments of circuits based on transistors operated in the weak inversion (w.i.) mode, also called sub-threshold mode. In analog circuits, w.i. is reached at very low current, but it is also needed for very low supply voltage. Its exponential behaviour can be exploited in special circuits schemes, some of them devised for bipolar transistors. For digital circuits, it can provide the ultimate speed/power ratio in a given process.

Journal ArticleDOI
TL;DR: It is shown that the output logic with dark return-to-zero (RZ) format has a large power penalty and the Q-factor is larger than 6 and the extinction ratio is largerthan 6.3 dB for all logic gates within 16 nm wavelength range.
Abstract: We propose theoretically two-input arbitrary Boolean logic (NOR, OR, AND, XOR, XNOR, NAND) using single semiconductor optical amplifier (SOA) assisted by several detuning optical filters. The probe spectrum is broadened by picosecond pulse injection in the SOA, and four consequent optical Gaussian filters are used to select different frequency components to acquire logic NOR, OR, AND, XOR, respectively. Then two additional logic gates, XNOR and NAND, are realized by combining two logic channels. The power penalty, Q-factor, and extinction ratio are measured for all logic gates. It is shown that the output logic with dark return-to-zero (RZ) format has a large power penalty. The Q-factor is larger than 6 and the extinction ratio is larger than 6.3dB for all logic gates within 16nm wavelength range.

Proceedings ArticleDOI
20 Apr 2009
TL;DR: This paper presents a new methodology to compute the failures in time (FIT) rate of a sequential circuit where the failures are at the system-level, and provides data that can be used to synthesize a low-overhead, low-FIT sequential circuit.
Abstract: Soft errors in combinational and sequential elements of digital circuits are an increasing concern as a result of technology scaling. Several techniques for gate and latch hardening have been proposed to synthesize circuits that are tolerant to soft errors. However, each such technique has associated overheads of power, area, and performance. In this paper, we present a new methodology to compute the failures in time (FIT) rate of a sequential circuit where the failures are at the system-level. System-level failures are detected by monitors derived from functional specifications. Our approach includes efficient methods to compute the FIT rate of combinational circuits (CFIT), incorporating effects of logical, timing, and electrical masking. The contribution of circuit components to the FIT rate of the overall circuit can be computed from the CFIT and probabilities of system-level failure due to soft errors in those elements. Designers can use this information to perform Pareto-optimal hardening of selected sequential and combinational components against soft errors. We present experimental results demonstrating that our analysis is efficient, accurate, and provides data that can be used to synthesize a low-overhead, low-FIT sequential circuit.


Proceedings ArticleDOI
22 Mar 2009
TL;DR: Experimental demonstration of a concept of subcarrier modulation with the symbol rate and carrier frequency being identical, and thereby synchronous, with 2.5 Gsymbols/s signals generated with binary digital electronics.
Abstract: We report experimental demonstration of a concept of subcarrier modulation with the symbol rate and carrier frequency being identical, and thereby synchronous. The concept is demonstrated with 2.5 Gsymbols/s signals generated with binary digital electronics.

Proceedings ArticleDOI
02 Nov 2009
TL;DR: This work presents a novel methodology for formulating a SPICE-type circuit simulation problem as a satisfiability problem, starting with a circuit level netlist, and transforms the simulation problem into a search problem that can be exhaustively explored via a SAT solver.
Abstract: Boolean satisfiability (SAT) based methods have traditionally been popular for formally verifying properties for digital circuits. We present a novel methodology for formulating a SPICE-type circuit simulation problem as a satisfiability problem. We start with a circuit level netlist, capture the non-linear behavior of the circuits at the transistor level via conservative approximations and transform the simulation problem into a search problem that can be exhaustively explored via a SAT solver. Thus, for DC as well as fixed time-step based transient and periodic steady state (PSS) simulation formulations, the solutions produced by the solver are formal in nature. We also present algorithms for abstraction refinement and smart interval generation to improve the computational efficiency of our proposed solution scheme. We have implemented our ideas into a tool called fSpice which is the first attempt at building a formal SPICE engine. We demonstrate the applicability of our ideas by showing experimental results using pruned versions of real designs that faced challenges during chip tape-out. Categories and Subject Descriptors I.6.5 [Simulation and Modeling]: Model Development General Terms Algorithms, Design

Journal ArticleDOI
TL;DR: In this paper, a new digital pulsewidth modulator (DPWM) architecture for field programmable gate array (FPGA)-based systems is presented, which is based on fully utilizing the digital clock manager (DCM) resources available on new FPGA boards.
Abstract: This paper presents a new digital pulsewidth modulator (DPWM) architecture for field programmable gate array (FPGA)-based systems. The design of the proposed DPWM architecture is based on fully utilizing the digital clock manager (DCM) resources available on new FPGA boards. Furthermore, this architecture will also window-mask the DCM operation to only a portion of the switching period in order to decrease power dissipation. This proposed digital modulator technique allows for higher DPWM resolution with lower power consumption, the primary barrier to high switching frequency operation. The presented technique relies on power-optimized resources already existing on new FPGAs, and benefits from the inherit phase-shifting properties of the DCM blocks, which help in simplifying the duty cycle generation. The architecture can be applied to achieve different numbers of bits for the DPWM resolution designed for different dc-dc applications. The suggested architecture is first simulated, implemented, and experimentally verified on a Virtex-4 FPGA board.

Journal ArticleDOI
TL;DR: It is shown that transversal implementation of logic gates based on simple geometric control ideas is problematic for realistic physical systems suffering from imperfections such as qubit inhomogeneity or uncontrollable interactions between qubits, but this problem can be overcome by formulating the task as an optimal control problem and designing efficient algorithms to solve it.
Abstract: The implementation of fault-tolerant quantum gates on encoded logic qubits is considered. It is shown that transversal implementation of logic gates based on simple geometric control ideas is problematic for realistic physical systems suffering from imperfections such as qubit inhomogeneity or uncontrollable interactions between qubits. However, this problem can be overcome by formulating the task as an optimal control problem and designing efficient algorithms to solve it. In particular, we can find solutions that implement all of the elementary logic gates in a fixed amount of time with limited control resources for the five-qubit stabilizer code. Most importantly, logic gates that are extremely difficult to implement using conventional techniques even for ideal systems, such as the T-gate for the five-qubit stabilizer code, do not appear to pose a problem for optimal control.

Journal ArticleDOI
01 Sep 2009
TL;DR: A technique based on electrical pulse injection for the analysis of SETs propagation within logic resources of Flash-based FPGAs is developed, providing detailed characterization of basic gates and realistic routing and logic paths.
Abstract: Advanced digital circuits are increasingly sensitive to single event transients (SETs) phenomena. Technology scaling has resulted in a greater sensitivity to single event effects (SEEs) and more in particular to SET propagation, since transients may be generated and propagated through the circuit logic, leading to behavioral errors of the affected circuit. When circuits are implemented on Flash-based FPGAs, SETs generated in the combinational logic resources are the main source of critical behavior. In this paper, we developed a technique based on electrical pulse injection for the analysis of SETs propagation within logic resources of Flash-based FPGAs. We outline logic schematic that allows the injection of different SET pulses. We performed several experimental analyses. We characterized the basic logic gates used by circuits implemented on Flash-based FPGAs evaluating the effect on logic-chains of real lengths. Additionally, we performed an effective analysis evaluating the SET propagation through microprocessor logic paths. Results demonstrated the possibility of mitigating SET-broadening effects by acting on physical place and route constraints.

Journal ArticleDOI
TL;DR: The proposed structure replaces high-dynamic-range analog circuits with high-speed digital circuits and offers a simple and flexible architecture, which requires less area, consumes less power, and delivers higher performance compared to those of the conventional modulators used for wideband systems.
Abstract: A novel architecture for a fully digital wideband wireless transmitter is presented. The proposed structure replaces high-dynamic-range analog circuits with high-speed digital circuits and offers a simple and flexible architecture, which requires less area, consumes less power, and delivers higher performance compared to those of the conventional modulators used for wideband systems. The design is based on a standard 65-nm CMOS process and is suitable for integration with a digital signal processor, memory, and logic implemented in such a process. The presented transmitter is based on a novel digital quadrature modulator (DQM), which achieves digital modulation in a Cartesian coordinate system. The novel architecture employs a single converter, referred to as the differential-like digital-to-RF converter (DDRC), as it is based on fully digitally combining the quadrature baseband signals. A DDRC, which is the heart of a DQM, combines functionalities of a mixer, a digital-to-analog converter, and an RF filter into a single circuit. The total area for the digital blocks is 0.04 mm2, with a power consumption of roughly 5 mW. It is shown that the proposed transmitter meets the spectral mask, defined in the targeted IEEE 802.16e (WiMAX) standard, with a margin of 20 dB and achieves an error-vector-magnitude (EVM) performance of -36 dB with a margin of 6 dB.

Journal ArticleDOI
TL;DR: This work proposes a direct and flexible implementation of logic operations using the dynamical evolution of a nonlinear system, and demonstrates how the single dynamical system can do more complex operations such as bit-by-bit addition in just a few iterations.

Proceedings ArticleDOI
19 Jan 2009
TL;DR: In this paper, the authors presented a circuit/architecture design methodology using MQCA and developed an integrated device/circuit/system compatible simulation framework to evaluate the functionality and the architecture of an 8-bit Magnetic Quantum Cellular Automata (MQCA) based Discrete Cosine Transform (DCT) with novel clocking and architecture.
Abstract: CMOS device scaling is facing a daunting challenge with increased parameter variations and exponentially higher leakage current every new technology generation. Thus, researchers have started looking at alternative technologies. Magnetic Quantum Cellular Automata (MQCA) is such an alternative with switching energy close to thermal limits and scalability down to 5nm. In this paper, we present a circuit/architecture design methodology using MQCA. Novel clocking techniques and strategies are developed to improve computation robustness of MQCA systems. We also developed an integrated device/circuit/system compatible simulation framework to evaluate the functionality and the architecture of an MQCA based system and conducted a feasibility/comparison study to determine the effectiveness of MQCAs in digital electronics. Simulation results of an 8-bit MQCA-based Discrete Cosine Transform (DCT) with novel clocking and architecture show up to 290X and 46X improvement (at iso-delay and optimistic assumption) over 45nm CMOS in energy consumption and area, respectively.

Journal ArticleDOI
01 Mar 2009
TL;DR: This paper shows that, by partitioning a digital circuit and making use of a modular developmental approach, namely, the Modular Developmental Cartesian Genetic Programming (MDCGP) technique, it is indeed possible to evolve large circuits.
Abstract: This paper addresses the scalability problem prevalent in the evolutionary design of digital circuits and shows that Evolvable Hardware (EHW) can indeed be considered as a viable alternative design methodology for large and complex circuits. Despite the effort by the EHW community to overcome the scalability problems using both direct mapped techniques and developmental approaches, so far only small circuits have been evolved. This paper shows that, by partitioning a digital circuit and making use of a modular developmental approach, namely, the Modular Developmental Cartesian Genetic Programming (MDCGP) technique, it is indeed possible to evolve large circuits. As a proof of concept, a 5x5 multiplier is evolved for partition sizes of 32 and 64. It is shown that compared to the direct evolution technique, the MDCGP technique provides five times reduction in terms of evolution times, 6-56% reduction in area and improved fault tolerance. The technique is readily scalable and can be applied to even larger partition sizes, and also to sequential circuits, thus providing a promising path to evolve large and complex circuits.

Journal ArticleDOI
TL;DR: A completely digital on-chip technique to measure local random variation of FET current, which eliminates analog current measurements and enables very rapid, all-digital measurement of single FET variability, which can be carried out in the field.
Abstract: The pronounced impact of process uncertainties on the power-performance characteristics of systems has necessitated characterization and design efforts that aim to maximize the parametric yield of the design. This paper describes a completely digital on-chip technique to measure local random variation of FET current. The measurement circuit consists of a series connection of an array of independently selectable devices and a single common load device. The voltage at the intermediate node indicates the variation from device to device, and is digitized by a voltage-controlled oscillator and on-chip frequency counters. This eliminates analog current measurements and enables very rapid, all-digital measurement of single FET variability, which can also be carried out in the field. The effectiveness of the technique is illustrated using measurements results from a test chip designed in a 45-nm SOI process.

Journal ArticleDOI
TL;DR: This paper presents a methodology to selectively protect specific gates of the circuit in a manner that guarantees radiation tolerance for the entire circuit and proposes an improved circuit protection algorithm to reduce the area overhead associated with this approach.
Abstract: In this paper, we present a novel circuit design approach for radiation hardened digital electronics. Our approach is based on the use of shadow gates, whose task it is to protect the primary gate in case it is struck by a heavy cosmic ion. We locally duplicate the gate to be protected, and connect a pair of diode-connected transistors (or diodes) between the outputs of the original and shadow gates. These transistors turn on when the voltages of the two gates deviate during a radiation strike. Our experiments show that at the level of a single gate, our circuit structure has a delay overhead about 1.76% on average, and an area overhead of 277%. At the circuit level, however, we do not need to protect all gates. We present a methodology to selectively protect specific gates of the circuit in a manner that guarantees radiation tolerance for the entire circuit. With this methodology, we demonstrate that at the circuit level, the average delay overhead is about 3% and the average placed-and-routed area overhead is 28%, compared to an unprotected circuit (for delay mapped designs). We also propose an improved circuit protection algorithm to reduce the area overhead associated with our approach. With this approach for circuit protection, the area and delay overheads are further lowered.

Journal ArticleDOI
TL;DR: A novel reprogrammable FPGA architecture which can be configured based on SE mitigation and performance needs is described, and the candidate SE mitigation methods are evaluated as to suitability for such architecture.
Abstract: We compare four circuit methods for single event (SE) mitigation: single string with radiation-hardened flip flops, delay-guarded logic, dual-rail logic, and triple modular redundancy (TMR). Test results of the circuit methods at 180 nm are presented. We then describe a novel reprogrammable FPGA architecture which can be configured based on SE mitigation and performance needs, and we evaluate the candidate SE mitigation methods as to suitability for such architecture.

Journal ArticleDOI
TL;DR: In this article, an analytical model is developed to calculate the single-event transient (SET) pulse widths in advanced silicon-on-insulator (SOI) CMOS logic.
Abstract: An analytical model is developed to calculate the single-event transient (SET) pulse widths in advanced silicon-on-insulator (SOI) CMOS logic. Waveform analysis reveals that the width of the pulses is large enough to exhibit rail-to-rail trapezoidal waveforms, which are a typical shape for SET pulses in SOI CMOS logic irradiated by ions hitting the center of MOS gates at normal incidence, consisting of two time components. Based on their physical mechanisms, they are modeled as functions of the irradiation and device parameters. The widths and their trends predicted by the model are in good agreement with numerical device simulations and pulsed-laser experimental results.