scispace - formally typeset
Search or ask a question

Showing papers on "Digital electronics published in 2015"


Journal ArticleDOI
Dmitri E. Nikonov1, Ian A. Young1
TL;DR: In this paper, a benchmarking of beyond-CMOS exploratory devices for logic integrated circuits is presented, which includes new devices with ferroelectric, straintronic, and orbitronic computational state variables.
Abstract: A new benchmarking of beyond-CMOS exploratory devices for logic integrated circuits is presented. It includes new devices with ferroelectric, straintronic, and orbitronic computational state variables. Standby power treatment and memory circuits are included. The set of circuits is extended to sequential logic, including arithmetic logic units. The conclusion that tunneling field-effect transistors are the leading low-power option is reinforced. Ferroelectric transistors may present an attractive option with faster switching delay. Magnetoelectric effects are more energy efficient than spin transfer torque, but the switching speed of magnetization is a limitation. This article enables a better focus on promising beyond-CMOS exploratory devices.

313 citations


Journal ArticleDOI
TL;DR: A heuristic seeding mechanism is introduced to CGP which allows for improving not only the quality of evolved circuits, but also reducing the time of evolution and the efficiency of the proposed method is evaluated.
Abstract: In approximate computing, the requirement of perfect functional behavior can be relaxed because some applications are inherently error resilient. Approximate circuits, which fall into the approximate computing paradigm, are designed in such a way that they do not fully implement the logic behavior given by the specification and, hence, their accuracy can be exchanged for lower area, delay or power consumption. In order to automate the design process, we propose to evolve approximate digital circuits that show a minimal error for a supplied amount of resources. The design process, which is based on Cartesian genetic programming (CGP), can be repeated many times in order to obtain various tradeoffs between the accuracy and area. A heuristic seeding mechanism is introduced to CGP, which allows for improving not only the quality of evolved circuits, but also reducing the time of evolution. The efficiency of the proposed method is evaluated for the gate as well as the functional level evolution. In particular, approximate multipliers and median circuits that show very good parameters in comparison with other available implementations were constructed by means of the proposed method.

115 citations


Proceedings ArticleDOI
25 Mar 2015
TL;DR: A new attack strategy based on applying brute force iteratively to each logic cone is described and shown to significantly reduce the number of brute force key combinations that need to be tried by an attacker.
Abstract: Logic obfuscation can protect designs from reverse engineering and IP piracy. In this paper, a new attack strategy based on applying brute force iteratively to each logic cone is described and shown to significantly reduce the number of brute force key combinations that need to be tried by an attacker. It is shown that inserting key gates based on MUXes is an effective approach to increase security against this type of attack. Experimental results are presented quantifying the threat posed by this type of attack along with the relative effectiveness of MUX key gates in countering it.

105 citations


Journal ArticleDOI
TL;DR: Reconfigurable silicon nanowire field-effect transistors (RFETs) combine the functionality of classical unipolar p-type and n-type FETs in one universal device, and it is shown that an asymmetric transistor layout with individual optimization of both top gates can be used to increase the speed of those circuits.
Abstract: Reconfigurable silicon nanowire field-effect transistors (RFETs) combine the functionality of classical unipolar p-type and n-type FETs in one universal device. In this paper, we show devices exhibiting full symmetry between p- and n-functionality, while having identical geometry. Scaling trends and feasibility for digital circuit integration are evaluated based on TCAD simulations. The method of logical effort is applied to analyze fundamental differences in circuit topology using this unique type of multigate transistors. We introduce a set of multifunctional logic gates based on RFETs providing all basic Boolean functions, including nand / nor , and / or, and xor/xnor, and compared them with classical implementations. Two 1-bit full adders based on those gates are presented as an insightful example that RFETs are one possible solution to increase the system functionality. Moreover, it is shown that an asymmetric transistor layout with individual optimization of both top gates can be used to increase the speed of those circuits.

96 citations


Proceedings ArticleDOI
18 Oct 2015
TL;DR: This paper proposes a novel design methodology for logic circuits targeting memristor crossbars that supports the execution of Boolean logic functions within constant number of steps independent of its functionality.
Abstract: As the CMOS technology is gradually scaling down to inherent physical device limits, significant challenges emerge related to scalability, leakage, reliability, etc. Alternative technologies are under research for next-generation VLSI circuits. Memristor is one of the promising candidates due to its scalability, practically zero leakage, non-volatility, etc. This paper proposes a novel design methodology for logic circuits targeting memristor crossbars. This methodology allows the optimization of the design of logic function, and their automatic mapping on the memristor crossbar. More important, this methodology supports the execution of Boolean logic functions within constant number of steps independent of its functionality. To illustrate the potential of the proposed methodology, multi-bit adders and multipliers are explored; their incurred delay, area and energy costs are analyzed. The comparison of our approach with state-of-the-art Boolean logic circuits for memristor crossbar architecture shows significant improvement in both delay (4 to 500 x) and energy consumption (1.22 to 3.71 x). The area overhead may decrease (down to 44%) or increase (up to 17%) depending on the circuit's functionality and logic optimization level.

92 citations


Journal ArticleDOI
Shuang Gao1, Fei Zeng1, Minjuan Wang1, Guangyue Wang1, Cheng Song1, Feng Pan1 
TL;DR: Two methods for the implementation of complete Boolean logic functions in a single CRS cell are reported, one based on the intrinsic switchable diode of a peculiar CRScell that is composed of two anti-serial bipolar resistive switches with a rectifying high resistance state and another based directly on the complementary switching behaviour itself of any single C RS cell.
Abstract: The unique complementary switching behaviour of complementary resistive switches (CRSs) makes them very attractive for logic applications. The implementation of complete Boolean logic functions in a single CRS cell is certainly an extremely important step towards the commercialisation of related logic circuits, but it has not been accomplished to date. Here, we report two methods for the implementation of complete Boolean logic functions in a single CRS cell. The first method is based on the intrinsic switchable diode of a peculiar CRS cell that is composed of two anti-serial bipolar resistive switches with a rectifying high resistance state, while the second method is based directly on the complementary switching behaviour itself of any single CRS cell. The feasibilities of both methods have been theoretically predicted and then experimentally demonstrated on the basis of a Ta/Ta2O5/Pt/Ta2O5/Ta CRS cell. Therefore, these two methods—in particular the complementary switching behaviour itself-based method, which has natural immunity to the sneak-path issue of crossbar logic circuits—are believed to be capable of significantly advancing both our understanding and commercialization of related logic circuits. Moreover, peculiar CRS cells have been demonstrated to be feasible for tri-level storage, which can serve as an alternative method of realising ultra-high-density data storage.

84 citations


Journal ArticleDOI
TL;DR: An adiabatic quantum-flux-parametron (AQFP) cell library adopting minimalist design and a symmetric layout is built and an experimental demonstration of an 8-bit carry look-ahead adder designed using the minimal AQFP cell library is presented.
Abstract: We herein build an adiabatic quantum-flux-parametron (AQFP) cell library adopting minimalist design and a symmetric layout. In the proposed minimalist design, every logic cell is designed by arraying four types of building block cells: buffer, NOT, constant, and branch cells. Therefore, minimalist design enables us to effectively build and customize an AQFP cell library. The symmetric layout reduces unwanted parasitic magnetic coupling and ensures a large mutual inductance in an output transformer, which enables very long wiring between logic cells. We design and fabricate several logic circuits using the minimal AQFP cell library so as to test logic cells in the library. Moreover, we experimentally investigate the maximum wiring length between logic cells. Finally, we present an experimental demonstration of an 8-bit carry look-ahead adder designed using the minimal AQFP cell library and demonstrate that the proposed cell library is sufficiently robust to realize large-scale digital circuits.

78 citations


Journal ArticleDOI
TL;DR: This work presents functionally complete logic gates based on RRAM technology, obtained through conditional switching in RRAM circuits with serially connected switches, that support RRAM logic for normally-off digital circuits with extremely high density.
Abstract: To extend the scaling of digital integrated circuits, beyond-CMOS approaches based on advanced materials and novel switching concepts are strongly needed. Among these approaches, the resistive switching random access memory (RRAM) allows for fast and nonvolatile switching at scalable power consumption. This work presents functionally complete logic gates based on RRAM technology. Logic computation is obtained through conditional switching in RRAM circuits with serially connected switches. AND, implication, NOT, and bit transfer operations are demonstrated, each using a single clock pulse, while other functions (e.g., OR and XOR) are achieved in multiple steps. The results support RRAM logic for normally-off digital circuits with extremely high density.

68 citations


Journal ArticleDOI
TL;DR: A body of knowledge in analog electronic circuit design, analysis, simulation, and implementation may also be useful in the robust and efficient design of molecular circuits in synthetic biology, helping it to scale to more complex circuits in the future.
Abstract: We review the field of synthetic biology from an analog circuits and analog computation perspective, focusing on circuits that have been built in living cells. This perspective is well suited to pictorially, symbolically, and quantitatively representing the nonlinear, dynamic, and stochastic (noisy) ordinary and partial differential equations that rigorously describe the molecular circuits of synthetic biology. This perspective enables us to construct a canonical analog circuit schematic that helps unify and review the operation of many fundamental circuits that have been built in synthetic biology at the DNA, RNA, protein, and small-molecule levels over nearly two decades. We review 17 circuits in the literature as particular examples of feedforward and feedback analog circuits that arise from special topological cases of the canonical analog circuit schematic. Digital circuit operation of these circuits represents a special case of saturated analog circuit behavior and is automatically incorporated as well. Many issues that have prevented synthetic biology from scaling are naturally represented in analog circuit schematics. Furthermore, the deep similarity between the Boltzmann thermodynamic equations that describe noisy electronic current flow in subthreshold transistors and noisy molecular flux in biochemical reactions has helped map analog circuit motifs in electronics to analog circuit motifs in cells and vice versa via a ‘cytomorphic’ approach. Thus, a body of knowledge in analog electronic circuit design, analysis, simulation, and implementation may also be useful in the robust and efficient design of molecular circuits in synthetic biology, helping it to scale to more complex circuits in the future.

61 citations


Journal ArticleDOI
TL;DR: Memristor based logic gates that can execute memory and logic operations are regarded as building blocks for non Von Neumann computation architecture and a logic operation methodology, based on which arbitrary Boolean logic can be realized in three steps, and the logic result can be nonvolatilely stored is proposed.
Abstract: Memristor based logic gates that can execute memory and logic operations are regarded as building blocks for non Von Neumann computation architecture. In this letter, Ta/GeTe/Ag memristors were fabricated and showed reproducible binary switches between high-resistance and low-resistance states. Utilizing a structure with two anti-serially connected memristors, we propose a logic operation methodology, based on which arbitrary Boolean logic can be realized in three steps, and the logic result can be nonvolatilely stored. A functionally complete logic operation: NAND is further verified by HSPICE simulation and experiments. The implementation of logic-in-memory unit may stimulate the development of future massive parallel computing.

58 citations


Journal ArticleDOI
TL;DR: This work analyzes the different AND, OR, and NOT logic gates which are based on memristors and presents the proposed memristor-based crossbar architecture which has a series of excellent features, such as good-compatibility, high-density, non-volatility, low-power, and good-scalability.
Abstract: Recently, it has been demonstrated that memristors can be utilized as logic gates, control switches as well as memory elements. In this paper, we analyze the different AND, OR, and NOT logic gates which are based on memristors. In addition, a novel design for a memristor-based switch is presented, which can be used in the peripheral read/write circuits of the memristor-based memory. Moreover, methods of consecutive read with long refresh intervals and fast write for the proposed design are also discussed. Another highlight of this work is the analysis of the proposed memristor-based crossbar architecture which has a series of excellent features, such as good-compatibility, high-density, non-volatility, low-power, and good-scalability. Simulation results also show that the proposed memory array has superior performances compared to other memristor-based arrays proposed in the existing technical literature.

Proceedings ArticleDOI
30 Nov 2015
TL;DR: The scaling challenges for analog circuits ranging from fundamental to practical challenges are reviewed and design strategies are outlined that in principle can overcome the challenges and can help guide the search for new circuit paradigms.
Abstract: Analog circuits provide the critical interfaces between the digital world inside today's integrated circuits and the physical world. Semiconductor technology scaling driven by ‘Moore's Law’ has resulted in a phenomenal scaling of the performance of digital processors and memory. Continuing design innovations have enabled the scaling of analog interfaces onto scaled CMOS technologies, even though device scaling is a mixed blessing for the analog designer. This paper reviews the scaling challenges for analog circuits ranging from fundamental to practical challenges. Design strategies are outlined that in principle can overcome the challenges and can help guide the search for new circuit paradigms. Several examples of innovative analog design paradigms are reviewed and the opportunities in highly scaled CMOS technologies are outlined.

Journal ArticleDOI
TL;DR: This paper proposes and demonstrates new ac-biased single flux quantum (SFQ) circuits, and searches for synergy of ac and currently dominating dc biasing schemes.
Abstract: Recent progress on reciprocal quantum logic (RQL) has renewed interest in ac powering of superconductor digital circuits, which had been abandoned since the famous IBM project of 1970s. In this paper, we propose and demonstrate new ac-biased single flux quantum (SFQ) circuits, and search for synergy of ac and currently dominating dc biasing schemes. As the first step, we suggest an on-chip ac/dc converter capable of feeding a few dc-biased gates surrounded by their ac-biased counterparts. As the second step, we introduce and present the first successful demonstration of a new ac-powered circuit-an 8192-bit shift register with over 32800 Josephson junctions (JJs) and JJ density of about 6 · 105 JJ per cm2. We suggest a few niche applications for this type of ac-biased circuits, which require high clock rates. For example, these circuits, scalable to millions of JJs per chip, can serve as a convenient benchmark for new SFQ fabrication technology nodes, allowing the operating margins of individual cells to be extracted and thus “visualize” individual fabrication defects and flux trapping events. The circuit can also be developed into a megapixel imaging array for a magnetic field microscope.

Journal ArticleDOI
TL;DR: The paper provides the elementary theory about the electro-optic effects and describes efficient techniques to implement the electrical binary to optical gray code converters and even parity checkers using appropriate configuration of electro-Optic based MZIs as basic building blocks.
Abstract: The Mach–Zehnder interferometer (MZI) structures working on the principle electro-optical effect shows the powerful ability to switch the optical signal from one output port to the another output port. Hence, it is possible to construct some complex optical combinational digital circuits using the electro-optic effect based MZI structure as a basic building block. The implementation of electrical binary to optical gray code converters and even parity checkers can improve the performance of the digital logic circuits. The paper provides the elementary theory about the electro-optic effects and describes efficient techniques to implement the electrical binary to optical gray code converters and even parity checkers using appropriate configuration of electro-optic based MZIs as basic building blocks. The paper includes the detailed mathematical derivation and corresponding MATLAB simulation result related to the optical switching phenomena of MZI structure. The paper describes the efficient techniques to implement the electrical binary to optical gray code converters and even parity checkers with the suitable mathematical expression and relevant MATLAB results. The proposed devices are verified with the appropriate optiBPM software. Finally, the paper shows the detailed analysis to check the appropriate device parameters such as Ti-thickness, switching voltages in order to obtain the optimum performance parameters such as cross-talk, extinction ratio and losses through the linear and curved waveguide section.

Journal ArticleDOI
TL;DR: It is proved that the removal of top metal layer or the top two metal layers can provide high-level protection for RF circuits with a lower request to domestic foundries and the design obfuscation method provides the highest level of circuit protection, though at the cost of design overhead.
Abstract: With the globalization of the integrated circuit (IC) design flow of chip fabrication, intellectual property (IP) piracy is becoming the main security threat. While most of the protection methods are dedicated for digital circuits, we are trying to protect radio-frequency (RF) designs. For the first time, we applied the split manufacturing method in RF circuit protection. Three different implementation cases are introduced for security and design overhead tradeoffs, i.e., the removal of the top metal layer, the removal of the top two metal layers and the design obfuscation dedicated to RF circuits. We also developed a quantitative security evaluation method to measure the protection level of RF designs under split manufacturing. Finally, a simple Class AB power amplifier and a more sophisticated Class E power amplifier are used for the demonstration through which we prove that: (1) the removal of top metal layer or the top two metal layers can provide high-level protection for RF circuits with a lower request to domestic foundries; (2) the design obfuscation method provides the highest level of circuit protection, though at the cost of design overhead; and (3) split manufacturing may be more suitable for RF designs than for digital circuits, and it can effectively reduce IP piracy in untrusted off-shore foundries.

Journal ArticleDOI
TL;DR: A detailed analysis and comparison of nanoelectromechanical systems (NEMS) and CMOS technologies for low power adiabatic logic implementation is presented, and the contribution of the power-clock or energy recovery generator is estimated in order to compare CMOS and NEMS-based adiABatic architectures at the system level.
Abstract: In this paper, a detailed analysis and comparison of nanoelectromechanical systems (NEMS) and CMOS technologies for low power adiabatic logic implementation is presented. Fundamental limits of CMOS-based adiabatic logic are identified. Analytic relations describing the energy-performance for sub-threshold adiabatic logic are also explicitly derived and optimized. The interest of combining NEMS technology and adiabatic logic is described, and the key NEMS switch parameters that govern the dissipation-performance relationship are identified as the switch commutation frequency, its actuation voltage, and the contact resistance between the switch electrodes. Furthermore, NEMS-based adiabatic gates architectures are described. Finally, the contribution of the power-clock or energy recovery generator is estimated in order to compare CMOS and NEMS-based adiabatic architectures at the system level. The paper concludes with a detailed comparison of the energy-performance of the different explored technologies.

Journal ArticleDOI
TL;DR: This paper constitutes the mathematical description of the proposed device and thereafter compilation using MATLAB, and is carried out by simulating the proposeddevice with the beam propagation method.
Abstract: Electrical component speed is a major constraint in high-speed communications. To overcome this constraint, electrical components are now being replaced by optical components. The application of optical switching phenomena has been used to construct the design of the D flip-flop and T flip-flop based on the electro-optic effect in a Mach-Zehnder interferometer (MZI). The MZI structures show the powerful ability to switch the optical signal from one output port to the other. Hence, it is possible to construct some complex optical combinational digital circuits using the electro-optic-effect-based MZI structure as a basic building block. This paper constitutes the mathematical description of the proposed device and thereafter compilation using MATLAB. The study is carried out by simulating the proposed device with the beam propagation method.

Journal ArticleDOI
TL;DR: This paper has proposed acoustic logic gates based on the linear interference of self-collimated beams in 2D sonic crystals (SCs) with line-defects that provide a promising approach for acoustic signal computing and manipulations.
Abstract: The reveal of self-collimation effect in two-dimensional (2D) photonic or acoustic crystals has opened up possibilities for signal manipulation. In this paper, we have proposed acoustic logic gates based on the linear interference of self-collimated beams in 2D sonic crystals (SCs) with line-defects. The line defects on the diagonal of the 2D square SCs are actually functioning as a 3 dB splitter. By adjusting the phase difference between two input signals, the basic Boolean logic functions such as XOR, OR, AND, and NOT are achieved both theoretically and experimentally. Due to the non-diffracting property of self-collimation beams, more complex Boolean logic and algorithms such as NAND, NOR, and XNOR can be realized by cascading the basic logic gates. The achievement of acoustic logic gates and Boolean operation provides a promising approach for acoustic signal computing and manipulations.

Journal ArticleDOI
TL;DR: In this article, a mathematical description of the proposed device and thereafter simulation using MATLAB analysis of some factors influencing the performances of proposed device has been discussed properly The study is verified using beam propagation method.

Journal ArticleDOI
TL;DR: In this paper, the authors present a neuromorphic system in a 28 nm CMOS process that employs switched capacitor (SC) circuits to implement 128 short-term plasticity presynapses as well as 8192 stop-learning synapses.
Abstract: Synaptic dynamics, such as long- and short-term plasticity, play an important role in the complexity and biological realism achievable when running neural networks on a neuromorphic IC. For example, they endow the IC with an ability to adapt and learn from its environment. In order to achieve the millisecond to second time constants required for these synaptic dynamics, analog subthreshold circuits are usually employed. However, due to process variation and leakage problems, it is almost impossible to port these types of circuits to modern sub-100nm technologies. In contrast, we present a neuromorphic system in a 28 nm CMOS process that employs switched capacitor (SC) circuits to implement 128 short-term plasticity presynapses as well as 8192 stop-learning synapses. The neuromorphic system consumes an area of 0.36 mm² and runs at a power consumption of 1.9 mW. The circuit makes use of a technique for minimizing leakage effects allowing for real-time operation with time constants up to several seconds. Since we rely on SC techniques for all calculations, the system is composed of only generic mixed-signal building blocks. These generic building blocks make the system easy to port between technologies and the large digital circuit part inherent in an SC system benefits fully from technology scaling.

Book ChapterDOI
08 Apr 2015
TL;DR: The method combines a circuit simulation with a formal verification in order to detect the functional inequivalence of the parent and its offspring and enabled a 34 % reduction in gate count even if the optimizer was executed only for 15 min.
Abstract: A new approach to the evolutionary optimization of large digital circuits is introduced in this paper. In contrast with evolutionary circuit design, the goal of the evolutionary circuit optimization is to minimize the number of gates (or other non-functional parameters) of already functional circuit. The method combines a circuit simulation with a formal verification in order to detect the functional inequivalence of the parent and its offspring. An extensive set of 100 benchmarks circuits is used to evaluate the performance of the method as well as the utilized evolutionary approach. Moreover, the role of neutral mutations in the context of evolutionary optimization is investigated. In average, the method enabled a 34 % reduction in gate count even if the optimizer was executed only for 15 min.

Patent
26 Mar 2015
TL;DR: In this paper, an IC device can include a plurality of analog blocks, including at least one fixed function analog circuit, and at least a reconfigurable analog circuit block selected from: a continuous time (CT) block comprising of reconfigurable amplifier circuits and a discrete time (DTT) block consisting of amplifiers with a reconfigured switch network; an analog multiplexer (MUX) configured to selectively connect any of the input/outputs (I/Os) of the IC device to the analog blocks.
Abstract: An integrated circuit (IC) device can include a plurality of analog blocks, including at least one fixed function analog circuit, and at least one reconfigurable analog circuit block selected from: a continuous time (CT) block comprising a plurality of reconfigurable amplifier circuits and a discrete time block comprising amplifiers with a reconfigurable switch network; an analog multiplexer (MUX) configured to selectively connect any of a plurality of input/outputs (I/Os) of the IC device to the analog blocks, the analog MUX including at least one low noise signal path pair having a lower resistance than other signal paths of the analog MUX; at least one analog routing block reconfigurable to provide signal paths between any of the analog blocks; a digital section comprising digital circuits; and a processor interface coupled to the analog blocks.

Journal ArticleDOI
TL;DR: Simulation results show that quadded logic with quadded transistor (QLQT) has a better reliability than the other fault-tolerant techniques (except in the very restrictive case of small circuits with low gate error rates and very short paths from primary inputs to primary outputs).
Abstract: Advances in CMOS technology have made digital circuits and systems very sensitive to manufacturing variations, aging, and/or soft errors. Fault-tolerant techniques using hardware redundancy have been extensively investigated for improving reliability. Quadded logic (QL) is an interwoven redundant logic technique that corrects errors by switching them from critical to subcritical status; however, QL cannot correct errors in the last one or two layers of a circuit. In contrast to QL, quadded transistor (QT) corrects errors while performing the function of a circuit. In this brief, a technique that combines QL with QT is proposed to take advantage of both techniques. The proposed quadded logic with quadded transistor (QLQT) technique is evaluated and compared with other fault-tolerant techniques, such as triple modular redundancy and triple interwoven redundancy, using stochastic computational models. Simulation results show that QLQT has a better reliability than the other fault-tolerant techniques (except in the very restrictive case of small circuits with low gate error rates and very short paths from primary inputs to primary outputs). These results provide a new insight for implementing efficient fault-tolerant techniques in the design of reliable circuits and systems.

Proceedings ArticleDOI
23 Feb 2015
TL;DR: Low-power CMOS reference clock oscillators have been widely used in miniaturized SoCs for emerging microsystems such as implantable biomedical devices and smart sensors, but as the supply voltage shrinks and the level of analog and digital circuit integration increases to meet rigorous power and area constraints, the noise from other blocks poses a serious threat.
Abstract: Low-power CMOS reference clock oscillators have been widely used in miniaturized SoCs for emerging microsystems such as implantable biomedical devices and smart sensors [1-3]. In such SoCs, as the supply voltage shrinks and the level of analog and digital circuit integration increases to meet rigorous power and area constraints, the noise from other blocks (especially digital blocks) couples through supply and ground lines and poses a serious threat to the performance of CMOS reference clock oscillators.

Journal ArticleDOI
TL;DR: The proposed threshold logic outperforms previous memristive-CMOS logic cells on every aspect, however, they indicate a lower chip area, lower total harmonic distortion, and controllable leakage power, but a higher power dissipation with respect to CMOS logic.
Abstract: Brain-inspired circuits can provide an alternative solution to implement computing architectures taking advantage of fault tolerance and generalization ability of logic gates. In this brief, we advance over the memristive threshold circuit configuration consisting of memristive averaging circuit in combination with operational amplifier and/or CMOS inverters in application to realizing complex computing circuits. The developed memristive threshold logic gates are used for designing fast Fourier transform and multiplication circuits useful for modern microprocessors. Overall, the proposed threshold logic outperforms previous memristive-CMOS logic cells on every aspect, however, they indicate a lower chip area, lower total harmonic distortion, and controllable leakage power, but a higher power dissipation with respect to CMOS logic.

Journal ArticleDOI
TL;DR: A novel and comprehensive printed transistor model that is simple, accurate and compatible with industry-standard IC (integrated circuit) electronic design automation tools is presented.

Journal ArticleDOI
TL;DR: This work targets the development of multi-layered architecture in the QCA framework with the goal to build an efficient methodology for QCA based digital logic design.

Journal ArticleDOI
TL;DR: A web-based education platform for the visualization and animation of the digital logic design process, which includes the design of combinatorial circuits using logic gates, multiplexers, decoders, and look-up-tables as well as thedesign of finite state machines.
Abstract: This paper presents a web-based education platform for the visualization and animation of the digital logic design process. This includes the design of combinatorial circuits using logic gates, multiplexers, decoders, and look-up-tables as well as the design of finite state machines. Various configurations of finite state machines can be selected to define the machine type, the state code, and the flip-flop type. Logic minimization with the K-map approach and the Quine McCluskey scheme is also supported. The tools, denoted as DLD-VISU, help students practice related topics in digital logic design courses. Also, instructors can use the tools to efficiently generate and verify examples for lecture notes or for homework problems and assignments. DLD-VISU was designed relying on a thorough investigation of related pedagogical aspects to define appropriate interactive graphical processes. The decision for a web-based solution, on the one hand, was motivated by making the tools available, portable, expandable, and at the same time transparent to the user. On the other hand, the advocated approach enables instructors to define access rules for their students to assure that students cannot use the tools to solve assessed homework problems or assignments before submission deadline. DLD-VISU supports self-assessment and reflects the student learning process using learning curves. The proposed platform was evaluated both in form of students’ feedback as well as by analyzing the impact of using the tools on students’ performance.

Journal ArticleDOI
TL;DR: Power and area efficiency demonstrated by the low power closed-loop compressive sensing based neural recording system make it an ideal candidate for integration into large recording arrays containing thousands of electrode.
Abstract: Objective. This paper describes a low power closed-loop compressive sensing (CS) based neural recording system. This system provides an efficient method to reduce data transmission bandwidth for implantable neural recording devices. By doing so, this technique reduces a majority of system power consumption which is dissipated at data readout interface. The design of the system is scalable and is a viable option for large scale integration of electrodes or recording sites onto a single device. Approach. The entire system consists of an application-specific integrated circuit (ASIC) with 4 recording readout channels with CS circuits, a real time off-chip CS recovery block and a recovery quality evaluation block that provides a closed feedback to adaptively adjust compression rate. Since CS performance is strongly signal dependent, the ASIC has been tested in vivo and with standard public neural databases. Main results. Implemented using efficient digital circuit, this system is able to achieve >10 times data compression on the entire neural spike band (500–6KHz) while consuming only 0.83uW (0.53 V voltage supply) additional digital power per electrode. When only the spikes are desired, the system is able to further compress the detected spikes by around 16 times. Unlike other similar systems, the characteristic spikes and inter-spike data can both be recovered which guarantes a >95% spike classification success rate. The compression circuit occupied 0.11mm2/electrode in a 180nm CMOS process. The complete signal processing circuit consumes <16uW/electrode. Significance. Power and area efficiency demonstrated by the system make it an ideal candidate for integration into large recording arrays containing thousands of electrode. Closed-loop recording and reconstruction performance evaluation further improves the robustness of the compression method, thus making the system more practical for long term recording.