scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 1980"


Journal ArticleDOI
TL;DR: The Ag2Se/GeSe inorganic photoresist system has been used to produce submicron features by optical lithography as discussed by the authors, which is a practical process incorporating this material is the inorganic resist/polymer bilevel scheme.
Abstract: The Ag2Se/GeSe inorganic photoresist system has been used to produce submicron features by optical lithography. A practical process incorporating this material is the inorganic resist/polymer bilevel scheme. The successful printing of 0.5 μm lines and spaces is explained by the existence of an ’’edge sharpening’’ effect which accompanies the photo‐doping process. Conventionally accepted limitations of photolithography are circumvented by the Ag2Se/GeSe resist, whose properties also include high contrast, resistance to O2 plasma, and high absorbance of UV light.

78 citations


Journal ArticleDOI
J.M. Aitken1
TL;DR: In this paper, the effect of ionizing radiation on charge trapping in thin SiO 2 films is reviewed with special emphasis on the effect on the charge trapped in the oxide, and the basic techniques for characterizing the density, capture cross-sections, and location of these centers in the film are presented.
Abstract: In this paper the technological and scientific aspects of radiation-related charge trapping in thin SiO 2 films are reviewed. These films are amorphous in nature and are thermally grown on single crystal silicon substrates serving as the insulating layer in metal-oxide-semiconductor (MOS) capacitors and transistors. The structure and operation of these devices are reviewed with special emphasis on the effect of charges trapped in the oxide. The technical importance of understanding the interaction of ionizing radiation with thin SiO 2 films is illustrated with two practical examples. The first involves the operation of MOS transistors in environments where ionizing radiation is present, leading to an accumulation of positive space charge in the oxide. The second deals with process-induced defects generated by radiation encountered during the fabrication of devices by processes such as electron beam lithography or electron gun metallization. Unannealed traps of this type capture hot electrons producedin the substrate during the operation of the MOS transistor. In both these examples, the charging of the oxide results in instabilities which degrade operation. Its sensitivity to charge trapped in the insulator makes the MOS system an ideal vehicle for scientific study of these phenomena. The basic techniques for characterizing the density, capture cross-sections, and location are briefly discussed and applied to the problem of radiation-induced defects in thin SiO 2 films. Ionizing radiation is shown to interact with the SiO 2 in two modes. In the first it supplies carriers to fill pre-existing hole traps at the interfaces. In the second it creates electron and hole traps in the bulk of the thin film. These latter defects are in a neutral state after irradiation and are detectable only when either electrons or holes are subsequently injected into the oxide. The capture cross-sections, trap densities and location of these centers in the film are presented. The annealing treatments required to remove these traps from aluminium and polysilicon gate devices are also discussed. The number traps produced by an incident 25 KV electron beam is found to depend weakly on the dosage. A dipolar defect, produced by the ionizing radiation, seems to explain the behavior of the neutral centers.

36 citations


Journal ArticleDOI
Richard Howard1, E. L. Hu1, Lawrence D. Jackel1, P. Grabbe1, Donald M. Tennant1 
TL;DR: In this article, a two-layer electron-sensitive resist structure is employed consisting of an upper layer of polymethyl methacrylate and a lower layer of a copolymer of methacrylic acid and methyl methacylate.
Abstract: Resist features as small as 200 A and gold lines as narrow as 400 A separated by 800‐A center to center have been fabricated on thick silicon. substrates. A two‐layer electron‐sensitive resist structure is employed consisting of an upper layer of polymethyl‐methacrylate and a lower layer of a copolymer of methacrylic acid and methyl methacrylate. Use of the more electron‐sensitive lower layer results in an undercut which provides clean lift‐off of the evaporated gold. Degradation in the pattern resolution by electrons backscattered from the substrate is minimized by the presence of the lower resist layer. This method provides the finest resolution lift‐off patterns reported.

33 citations


Journal ArticleDOI
TL;DR: In this article, a double-layer resist-film technique for submicrometer electron-beam lithography is described, and the results of computer simulation and lithography experiments carried out on PMMA/MPR (LO/HI) and MPR/PMMA (HI/LO) double layer films are reported in full detail.
Abstract: This paper describes a double-layer resist-film technique for submicrometer electron-beam lithography. The results of computer simulation and lithography experiments carried out on PMMA/MPR (LO/HI) and MPR/PMMA (HI/LO) double-layer films are reported in full detail. It is shown that an undercut profile suitable for the lift-off processing can be reproducibly obtained by the use of the LO/HI structure over a wide range of electron-beam exposure dose, while the HI/LO structure is of great advantage in the fabrication of lift-off metal gates with a mushroom-like cross section.

25 citations


Journal ArticleDOI
TL;DR: In this paper, an ion-bombardment-enhanced etching (IBEE) technique was used for fabrication of submicron pattern with a period of 0.6 µm.
Abstract: Ion-bombardment-enhanced etching (IBEE) as a means for fabrication of submicron pattern is described. Electron beam lithography and lift-off technique are used to form a Cr mask pattern for ion-bombardment. The etched depth can be controlled from 83 to 128 nm by varying the ion dose with an accuracy of 10 nm. A grating pattern with a period of 0.6 µm is fabricated in a Si substrate by IBEE technique by using Ar+ ion. At an Ar+ ion energy of 60 keV, the amount of side etching is observed to be 40 nm for a 0.21-µm deep etched sample. This result shows the high resolution of IBEE.

24 citations


Journal ArticleDOI
D. F. Kyser1, R. Pyle1
TL;DR: A user-oriented, conversational computer program, LMS (Lithography Modeling System), has been developed for rapid investigation of the total lithographic process used in electron-beam lithography, including electron exposure und resist development.
Abstract: A user-oriented, conversational computer program, LMS (Lithography Modeling System), has been developed for rapid investigation of the total lithographic process used in electron-beam lithography, including electron exposure und resist development Electron scattering and energy deposition within the resist film are simulated with Monte Carlo techniques, including the significant effects of electrons backscattered from the substrate The magnitude of and correction for the resulting intra- and inter-line proximity effects in the latent image and their dependence on variables such as beam voltage, film thickness, substrate muterial, and line-pattern geometries are easily investigated with LMS The latent image in the resist film is transformed into a solubility-rate image The time evolution of the developed-resist profile and its dependence on electron dose, solvent, etc can also be determined

19 citations


Book ChapterDOI
01 Jan 1980
TL;DR: The use of soft x rays as a practical means of replicating patterns in the fabrication of electronic and optical microdevices was suggested by Spears and Smith(1, 2) in 1972.
Abstract: The use of soft x rays as a practical means of replicating patterns in the fabrication of electronic and optical microdevices was suggested by Spears and Smith(1, 2) in 1972. The approach is similar to contact x-ray microscopy, which has been used for several decades (see Chapter 8). Typically, x-ray wavelengths from 0.4 to 8.0 nm are used to proximity print Au mask patterns supported by thin transparent substrates with 0.1-µm resolution. X-ray lithography is an important alternative to optical lithography because it overcomes the fundamental limitations of diffraction and of shallow depth of field. Although x-ray replication is itself dependent on electron beam lithography for generating masks, it has inherently higher resolution for making device features. More importantly, it is a parallel rather than a serial exposure process, which tends to make it much more cost effective effective than direct electron beam wafer writing. In fact from an economic point of view, x-ray lithography is potentially competitive with optical lithography for fabricating electronic devices with 1.0-µm features. At 0.5-µm feature sizes x-ray lithography may be the only viable approach that has a throughput on the order of one wafer per minute. X-ray lithography systems intended to meet these micron and submicron volume production goals are being developed commercially.

16 citations


Journal ArticleDOI
Donald Eugene Davis1
TL;DR: Examination of elements that should be considered to optimize the registration mark detection process in an automatic registration system for an e-beam lithography tool such as IBM's EL1 finds some pattern overlay results from EL1.
Abstract: In electron-beam lithography for direct exposure of wafers for integrated circuit manufacturing, accurate registration is necessary to achieve the required pattern overlay. This paper examines elements that should be considered to optimize the registration mark detection process in an automatic registration system for an e-beam lithography tool such as IBM's EL1. Included is a section on the generation of the backscatter signals and the proper combination of these signals to reduce the detection uncertainty errors in a system with four backscatter detectors. Signals obtained from resist-coated marks with several vertical profiles are presented for illustration and comparison with the predicted results. Beam shot noise, resist effects, and other factors that affect the signal-to-noise ratio are discussed and some pattern overlay results from EL1 are given.

13 citations


Journal ArticleDOI
TL;DR: It is shown that an undercut profile suitable for the lift-off processing can be reproducibly obtained by the use of the LO/HI structure over a wide range of electron-beam exposure dose, while the HI/LO structure is of great advantage in the fabrication of lift-offs metal gates with a mushroom-like cross section.
Abstract: This paper describes a double-layer resist-film technique for submicrometer electron-beam lithography. The results of computer simulation and lithography experiments carried out on PMMA/MPR (LO/HI) and MPR/PMMA (HI/LO) double-layer films are reported in full detail. It is shown that an undercut profile suitable for the lift-off processing can be reproducibly obtained by the use of the LO/HI structure over a wide range of electron-beam exposure dose, while the HI/LO structure is of great advantage in the fabrication of lift-off metal gates with a mushroom-like cross section.

13 citations


Journal ArticleDOI
TL;DR: In this paper, a comprehensive theoretical and experimental study of the spatial distribution of electron energy dissipation in a very thin polymer film for dot, line, and parallel line exposures over a wide range of substrate thickness and exposure dosage is reported.
Abstract: A comprehensive theoretical and experimental study of the spatial distribution of electron energy dissipation in a very thin polymer film for dot, line, and parallel line exposures over a wide range of substrate thickness and exposure dosage is reported. The two Monte Carlo models used in the theoretical calculations are reviewed and the theoretical results obtained are discussed. Experimental fabrication of thin substrates and effective techniques of electron beam lithography on such substrates are described. The concept of equienergy dissipation contours is discussed and then used to compare experimental data with theory. Good agreement between experiment and theory has been obtained. With substrate thickness as a variable, the fundamental influence of electron scattering on the resolution of electron beam lithography has been verified.

12 citations


Book ChapterDOI
D. R. Herriott1
01 Jan 1980

Book ChapterDOI
P.R. Thornton1
TL;DR: In this paper, the authors discuss electron physics in microfabrication and discuss the role of resist behavior in deciding which approach becomes more economically viable for X-ray lithography.
Abstract: Publisher Summary This chapter discusses electron physics in microfabrication. It emphasizes on the central role that the resist plays in deciding which approach becomes more economically viable. In this discussion, the description of the ideal “family” of resists required for lithographic work is given and the necessary specification of a resist in detail is outlined. An illustrative theoretical treatment of resist behavior is outlined. This emphasis on resist behavior is justified on the grounds that in this work area there is most scope for establishing the exact role to be played by fast, versatile scanning systems with the implicit capability of making localized corrections for distortion. The chapter examines the possibilities and complexities involved in X-ray lithography. The basic ideas underlying the approach are outlined and, subsequently, each component is examined in detail. Where possible, quantitative data pertinent to the optimization of the method are given, the critical elements are identified, and the experimental results are discussed.

Proceedings ArticleDOI
R.K. Watts1, Wolfgang Fichtner, E.N. Fuls, L.R. Thibault, R.L. Johnston 
01 Jan 1980
TL;DR: In this article, a novel conductive multilevel resist structure has been employed for the six lithographic steps in an nMOS process to produce devices with micron and submicron channel lengths, enhancement transistor thresholds of 0.7V and ring oscillator stage., delays of 1.11 ns.
Abstract: A novel conductive multilevel resist structure has been employed for the six lithographic steps in an nMOS process to produce devices with micron and submicron channel lengths, Enhancement transistor thresholds of 0.7V and ring oscillator stage., delays of 0.11 ns are obtained.

Journal ArticleDOI
TL;DR: In this paper, the x-ray system, the mask structure, and the xray resist are described as applied to the fabrication of NMOS devices with 0.7 μm effective channel lengths.
Abstract: Recent advances in x‐ray lithography combined with the application of reactive sputter etching techniques for the pattern transfer are reviewed in this paper. The x‐ray system, the mask structure, and the x‐ray resist are described. Resist processing and pattern transfer are discussed as applied to the fabrication of NMOS devices with 0.7 μm effective channel lengths.

Patent
18 Aug 1980
TL;DR: In this paper, a charge-conducting medium was used as a charge conductance medium during a charged-particle-beam lithographic system, where the pattern delineated in the resist layer (56) is transferred into the film (16) and subsequently into an underlying layer (20).
Abstract: In a charged-particle-beam lithographic system, charge accumulation on the workpiece during alignment or writing can cause significant pattern placement errors. A film (16) formed directly under the resist layer (56) to be patterned is utilized as a charge-conducting medium during lithography. The pattern delineated in the resist layer (56) is transferred into the film (16) and subsequently into an underlying layer (20). The film (16) is highly compatible with standard lithographic and etching processes used to fabricate LSI and VLSI circuits.

Proceedings ArticleDOI
Alec N. Broers1
01 Jan 1980
TL;DR: In this article, the authors compared the performance of x-ray, electron beam, and ion beam lithography for thin film device applications and found that X-ray lithography offers the highest contrast and resist aspect ratio for linewidths above about 0.1µ.
Abstract: Resolution, overlay, and field size limits for U.V., x-ray, electron beam and ion beam lithography are described. Economic trade-offs between the methods, and their suitability for particular thin film device applications, are not considered. The following are some of the conclusions that are discussed. 1) At 1µ linewidth, contrast for optical projection can be higher than that for electron beam. 2) X-ray lithography offers the highest contrast and resist aspect ratio for linewidths above about 0.1µ, but for dimensions below 0.1µ, highest aspect ratio is obtained with electron beam. 3) With electron beam exposure on a bulk sample, contrast for 50nm linewidth is the same as that for 1µ linewidth, provided the resist is thin. 4) Ultimately the range of secondary electrons limits resolution in E/B lithography, just as the range of photoelectrons limits resolution in x-ray lithography. In both cases, minimum linewidth and spacing in dense patterns is about 20nm.

Journal ArticleDOI
Yasuhiro Yoneda1, Kenroh Kitamura1, Jiro Naito1, Toshisuke Kitakohji1, H. Okuyama1, K. Murakawa1 
TL;DR: In this paper, the PDOP was used as a negative resist for electron-beam, X-ray and ultraviolet lithography, and a high resolution pattern was obtained by using a molecular weight of 1 × 104 and an Mw/Mn < 2.
Abstract: Polydiallylorthophthalate, PDOP, of low molecular weight and low molecular weight dispersivity has been prepared and investigated as a negative resist for electron-beam, X-ray and ultraviolet lithographies. The resist polymers were prepared by a conventional fractional precipitation method. It has been found that a high resolution pattern is obtained by using a molecular weight of 1 × 104 and an Mw/Mn < 2. This gives a good solubility difference between the exposed and unexposed portions in the developer. Resolution is less than 1 μm without any scum. Sensitivity for 15 kV electron bourns is 4 × 106C/cm2; and for X-rays (Al Kα) it is 100 mJ/cm2. Sensitivity for UV is 10 times that of poly(methyl methacrylate), and resistance for C3F8 dry etching is comparable to AZ-1350J.

Journal ArticleDOI
T.R. Neill1, C.J. Bull1
TL;DR: In this paper, the backscatter exposure close to pattern edges is reduced substantially compared with the lithographic experience at 20 keV, and this, together with reduced beam spreading in the resist, permits improved definition of low micrometer and submicrometre features at a uniform dose.
Abstract: Experiments with a 50 keV electron beam confirmed that backscatter exposure close to pattern edges is reduced substantially compared with the lithographic experience at 20 keV. This, together with reduced beam spreading in the resist, permits improved definition of low micrometre and submicrometre features at a uniform dose.

Journal ArticleDOI
TL;DR: In this paper, it is shown that even when objectives with a high numerical aperture are used, the standing wave effects will strongly affect the image, especially in the region very close to the metal-resist interface, large deviations from scalar diffraction theory have been observed.
Abstract: The distribution of the average electric energy density defines which part of an exposed photosensitive resist layer is affected by the light beam. The image, which is formed by using optical projection systems, cannot be described by the standard Fresnel-Kirchhoff theory if highly reflective substrates are used. The theory has to take full account of the vectorial character of the light that accomplishes the exposure of the resist. It is shown that, even when objectives with a high numerical aperture are used, the standing wave effects will strongly affect the image. Especially in the region very close to the metal-resist interface, large deviations from scalar diffraction theory have been observed. These conclusions are supported by experimental evidence. The relevance of the theory is discussed by considering the consequences for the realization of microelectronic circuits.

Journal ArticleDOI
Makoto Nakase1, M. Yoshimi
TL;DR: In this article, the proximity effect in a raster-scan for electron-beam lithography system was evaluated by Monte Carlo calculation and verified by experiments and it was revealed that the reduction in the beam diameter below the scanning pitch, which links into the shortening of drawing time, is more effective in decreasing the proximity effects than the reduction of resist thickness.
Abstract: The proximity effect in a raster-scan for electron-beam lithography system was evaluated by Monte Carlo calculation and verified by experiments. It was revealed that the reduction in the beam diameter below the scanning pitch, which links into the shortening of drawing time, is more effective in decreasing the proximity effect than the reduction in the resist thickness. From the calculated results, it was found that the error in linewidth definition due to the proximity effect was less than 10 percent at a linewidth of 1.5 µm with scanning pitch of 0.5 µm, beam diameter of 0.2 µm, and PMMA resist of 1.0-µm thickness.


Journal ArticleDOI
TL;DR: In this paper, a rib-type optical directional coupler is fabricated from planar guides by using proton implantation, which makes refractive index change on CdTe face and the two-dimensional optical confinement is observed.
Abstract: Various kinds of high resolution techniques such as ion etching, chemical etching, ion implantation, and electron beam lithography are studied for fabricating CdTe optical integrated circuits. It is found that the ion-etching rate of CdTe is high and has only a small dependence on crystal orientation. A special chemical etching solution for aluminum on CdTe that does not corrode CdTe and proton implanted CdTe is used for high resolution patterning of CdTe. The smooth patterns in PMMA resist produced by an electron beam exposure is replicated deep into 2.5 μm of CdTe face through the aluminum layer. Rib guides and a rib-type optical directional coupler are fabricated from planar guides by using proton implantation which makes refractive index change on CdTe face. The two-dimensional optical confinement is observed. A coupling coefficient of k \simeq 0.39 mm-1is observed in the rib-type optical directional coupler.



Journal ArticleDOI
TL;DR: In this article, the proximity effect in a raster-scan for electron-beam lithography system was evaluated by Monte Carlo calculation and verified by experiments and it was revealed that reduction in the beam diameter below the scanning pitch, which links into the shortening of drawing time, is more effective in decreasing the proximity effects than the reduction in resist thickness.
Abstract: The proximity effect in a raster-scan for electron-beam lithography system was evaluated by Monte Carlo calculation and verified by experiments. It was revealed that the reduction in the beam diameter below the scanning pitch, which links into the shortening of drawing time, is more effective in decreasing the proximity effect than the reduction in the resist thickness. From the calculated results, it was found that the error in Iinewidth definition due to the proximity effect was less than 10 percent at a linewidth of 1.5/spl mu/ m with scanning pitch of 0.5 /spl mu/m, beam diameter of 0.2 /spl mu/m, and PMMA resist of 1.0-/spl mu/m thickness.

Patent
25 Jun 1980
TL;DR: In this paper, an electron beam sensitive resist with electron beams was used to reduce the thickness of a silicon oxide film and then the resist was removed thoroughly to obtain a resist pattern.
Abstract: PURPOSE:To simplify the process and to obtain a superior pattern by selectively irradiating an electron beam sensitive resist with electron beams to form a part having a reduced thickness and carrying out etching with plasma of an O2-contg. gas until the irradiated part is removed. CONSTITUTION:Especially in a method of forming a micropattern on a semiconductor substrate, a silicon oxide film 2 is formed on silicon semiconductor substrate 1, and an electron beam sensitive resist 3 such as 1,1-dimethyltetrafluoropropyl methacrylate is applied to the film 2. The resist 3 is selectively irradiated with electron beams 4 to reduce the thickness of the film 3, and by treating the film 3 with plasma of an O2-contg. gas for about 5min, the irradiated part is removed thoroughly to form a resist pattern. Using this resist film 3 as a mask the film 2 is etched to obtain the desired pattern. Thus, the process is simplified as compared to conventional wet development, and the manufacturing yield is enhanced.

Journal ArticleDOI
J.C. Wolfe1
TL;DR: The development of reliable, high-brightness, temperature-field (TF) emission electron guns promises greater flexibility in electron-beam lithography Detailed pattern analysis of two IC mask sets was performed for the purpose of identifying those areas of electron-beam lithography where highbrightness guns could be applied to advantage Potential exists for throughput improvement in both Variable Shaped Spot (VSS) vector scanning systems and in ultra-high-speed Raster Scanning Systems.
Abstract: The development of reliable, high-brightness, temperature-field (TF) emission electron guns promises greater flexibility in electron-beam lithography Detailed pattern analysis of two IC mask sets was performed for the purpose of identifying those areas of electron-beam lithography where high-brightness guns could be applied to advantage Potential exists for throughput improvement in both Variable Shaped Spot (VSS) Vector Scanning Systems and in ultra-high-speed Raster Scanning Systems

Proceedings ArticleDOI
P. Shah1, Gordon P. Pollack, G. Varnell, C. Rhodes, D. Kang, W. Bruncke 
01 Jan 1980
TL;DR: In this article, a 22 ns scaled 4K-bit static RAM (SRAM) has been fabricated on a 12k mil2chip demonstrating high density electron beam direct slice writing lithography and dry etch processes.
Abstract: A 22 ns scaled 4K-bit static RAM (SRAM) has been fabricated on a 12K mil2chip demonstrating high density electron beam direct slice writing lithography and dry etch processes. This 2 µm design rule, LSI vehicle used a vector-scanned electron-beam exposure system with a capability of 2 µm feature definition, 0.25 µm level-to-level registration, and auto chip-by-chip alignment. High speed, high resolution positive and negative electron-beam resists were used for all patterning steps. All implanted scaled MOS process with dry etching techniques for Si, SiO 2 , and Si 3 N 4 were used to realize 22ns access time 4KSRAM at full temperature. A gate delay of 0.18ns and a speed power product of 0.08 pJ were realized on a 1 µm channel ring oscillator with this process.

Journal ArticleDOI
TL;DR: In this article, a low-cost flying spot scanner that allows a standard scanning electron microscope to be converted into an electron beam lithography system is described, which is useful as a research tool for fabricating small arrays of submicron structures and devices.
Abstract: A novel low‐cost flying spot scanner that allows a standard scanning electron microscope to be converted into an electron beam lithography system is described. Such a system is useful as a research tool for fabricating small arrays of submicron structures and devices.

Journal ArticleDOI
TL;DR: In this article, the current voltage characteristics, temperature and an applied magnetic field dependence of a maximum zero-voltage current have been measured, and the dose dependence of major junction parameters has also been investigated.
Abstract: Josephson junction fabrication techniques by means of ion implantation, electron beam lithography and dry etching process are discussed. Current voltage characteristics, temperature and an applied magnetic field dependence of a maximum zero-voltage current have been measured. Dose dependence of major junction parameters has also been investigated.