scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 1982"


Journal ArticleDOI
TL;DR: A blazing technique using electron-beam lithography to achieve higher efficiency of gratings and Fresnel lenses is described, and the experimental results showed high-efficiency performance and nearly diffraction-limited focusing.
Abstract: A blazing technique using electron-beam lithography to achieve higher efficiency of gratings and Fresnel lenses is described. Transmission-type blazed gratings have been formed in polymethyl methacrylate films. As a result of measurement, we found that their diffraction efficiency of the first order in these gratings amounts to as much as 60 to 70% at 0.633 microm. Fresnel lenses of 1-mm diameter and 5-mm focal length, which have a sawtooth relief profile, have been also fabricated, and the experimental results showed high-efficiency performance (about 50%) and nearly diffraction-limited focusing.

166 citations


Patent
09 Dec 1982
TL;DR: In this article, a technique for the fabrication of devices and circuits using multiple layers of materials, where patterned layers of resists are required to make the device or circuit, is described by the selective removal of portions of the resist layer by ablative photodecomposition.
Abstract: A technique is described for the fabrication of devices and circuits using multiple layers of materials, where patterned layers of resists are required to make the device or circuit. The fabrication process is characterized by the selective removal of portions of the resist layer by ablative photodecomposition. This decomposition is caused by the incidence of ultraviolet radiation of wavelengths less than 220 nm, and power densities sufficient to cause fragmentation of resist polymer chains and the immediate escape of the fragmented portions from the resist layer. Energy fluences in excess of 10 mJ/cm2 /pulse are typically required. The deliverance of a large amount of energy in this wavelength range to the resist layer in a sufficiently short amount of time causes ablation of the polymer chain fragments. No subsequent development step is required for patterning the resist layer.

134 citations


Patent
02 Jun 1982
TL;DR: In this paper, a uniform metal film is applied over a patterned resist layer, and a short pulse of radiant energy is then applied to the whole surface of the metal film.
Abstract: A method for selective removal of metallization in integrated circuits. A uniform metal film is applied over a patterned resist layer. A short pulse of radiant energy is then applied to the whole surface of the metal film. The resist underneath the metal film is locally heated enough to cause outgassing, which breaks the mechanical bond between the metal film and the resist. The metal film over the patterned resist layer is then removed, leaving the deposited metal film in place over areas which were not covered by the resist film.

47 citations


Patent
17 Jun 1982
TL;DR: In this article, the region of the resist which is complementary to the desired circuit pattern is also exposed by an electron beam which has been adjusted to produce an exposure approximating that due to backscattering.
Abstract: In electron beam lithography, a beam of incident electrons exposes a preselected circuit pattern in a thin resist layer deposited on top of a substrate to be etched. Some of the electrons scatter from the substrate back into the resist layer producing an undesired exposure which produces variable resolution of features. In accordance with the disclosed technique, the region of the resist which is complementary to the desired circuit pattern is also exposed by an electron beam which has been adjusted to produce an exposure approximating that due to backscattering. This additional exposure removes the spatial variability in resolution attainable by the electron beam lithography.

39 citations


Journal ArticleDOI
TL;DR: In this article, a series of chloromethylated polystyrene (CMS) resist was developed for direct writing electron beam lithography with 1-μm resolution and showed excellent lithographic performances such as high plasma-etching durability and negligible post polymerization effect.
Abstract: High sensitivity and high contrast electron negative resist, chloromethylated polystyrene (CMS) was developed for direct writing electron beam lithography with 1-μm resolution. The resist shows excellent lithographic performances such as high plasma-etching durability and negligible “post polymerization effect”. A series of CMS covering a wide range of Mw, 6,800–560,000, were synthesized by the chloromethylation of nearly monodisperse polystyrenes. The effects of molecular parameters on sensitivity and resolution were investigated. The chloromethylation remarkably improved the reactivity of polystyrene, but which was saturated above 40% of chloromethylation ratio. About 100 times higher sensitivity could be achieved as compared with the starting material. As the increase of chloromethylation ratio (CR) gradually broadened the molecular weight distribution (MWD), the optimum CR was evaluated to be about 40%. In the above range of Mw, the sensitivity varies from 39 to 0.4 μC/cm, whereas the γ-value varies from 3.0 to 1.4. A sharp edge profile was obtained in developed pattern of CMS resist because of its relatively high glass-transition temperature (68–115°C) compared with commercial resists and the suitable selection of a developer. The resolution of CMS was compared with the structually related polymers synthesized from polystyrene with a broader MWD or vinylbenzylchloride and poly(chloroethylvinylether) (CEVE). These polymers show significantly lower resolution than CMS, which indicates the importance of MWD and Tg in electron negative resist.

31 citations


Journal ArticleDOI
TL;DR: In this article, an array of silver and gold-palladium particles smaller than 10 nm in diameter and center-to-center spacings as low as 25 nm have been fabricated by electron-beam contamination lithography in a scanning transmission electron microscope and ion milling.
Abstract: Arrays of silver and gold‐palladium particles smaller than 10 nm in diameter and center‐to‐center spacings as low as 25 nm have been fabricated by electron‐beam contamination lithography in a scanning transmission electron microscope and ion milling.

27 citations


Journal ArticleDOI
TL;DR: In this article, a new Ag2S/As2S3 negative electron resist system is proposed for nanostructure fabrication, and linear gaps down to 30 nm wide have already been resolved.
Abstract: A new Ag2S/As2S3 negative electron resist system is proposed for nanostructure fabrication. Linear gaps down to 30 nm wide have already been resolved. Sensitization of the Ag2S3 with a chemically deposited layer of Ag2S overcomes the limitations involved in the use of silver halides as a source of silver. Although the sensitivity of this resist is very low (4×10−3–10−2 C/cm2) its extremely high contrast (γ>5.5) is an advantage in nanostructure fabrication where it is necessary to discriminate against exposure by backscattered electrons.

26 citations


Journal ArticleDOI
TL;DR: Ag2Se/Se90Ge10 inorganic resist has been used to produce sub-50-nm lines by electron beam lithography as mentioned in this paper, 35-50nm wide lines have been defined by wet chemical processing in 70-nm-thick Se-Ge resist supported on a 50-nm silicon nitride membrane.
Abstract: Ag2Se/Se90Ge10 inorganic resist has been used to produce sub‐50‐nm lines by electron beam lithography. 35–50‐nm‐wide lines have been defined by wet chemical processing in 70‐nm‐thick Se‐Ge resist supported on a 50‐nm silicon nitride membrane. The effects of different chemical etchants on resolution are described.

24 citations


Journal ArticleDOI
TL;DR: Second-order surface corrugations for 1.55 μm distributed feedback (DFB) lasers have been fabricated in InP with a high yield using electron beam exposed resist masks as mentioned in this paper.
Abstract: Second-order surface corrugations for 1.55 μm distributed feedback (DFB) lasers have been fabricated in InP with a high yield using electron beam exposed resist masks. Attractive features of this technique are its flexibility and variable mark/space ratio (for optimum DFB coupling strength). Diffraction experiments show these gratings to be of suitably high optical quality.

22 citations


Journal ArticleDOI
TL;DR: The dry development of an Sn containing electron-beam-sensitive resist produced by plasma polymerization is described, and a little metal was added to an organic resist in order to raise the e-beam sensitivity for self development.
Abstract: The dry development of an Sn containing electron-beam-sensitive resist produced by plasma polymerization is described. The dry development was performed using self-development, with good results. In order to raise the e-beam sensitivity for self development, a little metal was added to an organic resist. It was found that a plasma co-polymerized methyl methacrylate tetramethyltin resist "PP (MMA–TMT)" had a sensitivity of 20 µC/cm2 and a γ value of 3.

19 citations


Journal ArticleDOI
Wolfgang Fichtner1, R.K. Watts, D.B. Fraser, R.L. Johnston, S.M. Sze 
TL;DR: In this paper, a modified NMOS process was used to produce MOSFETs with channel lengths as short as 0.1 µm by using a modified negative resist GMC in a tri-level configuration.
Abstract: We have fabricated MOSFET's with channel lengths as short as 0.1 µm by a modified NMOS process. The devices have been designed according to parameters obtained from numerical simulation. Electron-beam lithography has been used to define patterns at all levels with the negative resist GMC in a tri-level configuration. Heat treatments have been as short as possible to preserve very shallow source-drain junction depths ( L = 0.14 µm, we obtain g_{m} = 180 mS/mm for a gate oxide thickness of 160A.

Patent
29 Jun 1982
TL;DR: In this article, a multilayer light-shielding film consisting of a thin Cr film layer and an upper layer containing Cr oxide and nitride on a transparent substrate, and forming a pattern on the multi-layer.
Abstract: PURPOSE:To obtain a photomask for fabricating LSI having high precision and sperior durability, by forming a multilayer light-shielding film consisting of a thin Cr film layer and an upper layer containing Cr oxide and nitride on a transparent substrate, and forming a pattern on the multilayer. CONSTITUTION:A 50nm thick thin Cr layer 7 is formed on a transparent substrate 6 made of a soda lime glass or quartz glass or the like, and a mixed composition film in the range of CrXNYOZ, X=1, Y=0.1-1.4, Z=0.8-1.4 to form a photomask substrate, thus permitting both the film layers 7, 8 of this mask substrate to have the same etching speed in forming a pattern with an etching solution used in photolithography, electron beam lithography, etc., so to prevent defects of peeling of pent roofs formed from the edges of the layer 8, or the like, and to be good in resistance to chemicals, and a photomask high in precision and superior in durability to be obtained.


Proceedings ArticleDOI
A. Macrander1, D. Barr1, A. Wagner1
30 Jun 1982
TL;DR: In this article, the effect of random fluctuations in exposure dose on feature size for a gaussian beam of constant shape is calculated and the results of Monte Carlo simulations of exposures of PMMA on silicon by 50 KeV H2+, 100 KeV and 150 KeV Li+ ions are presented and it is shown that feature resolution is fundamentally limited by the physical processes through which energy is deposited.
Abstract: A broad range of materials and processing techniques amenable to producing resist systems for ion beam lithography are discussed. The effect of random fluctuations in exposure dose on feature size for a gaussian beam of constant shape is calculated. The results of Monte Carlo simulations of exposures of PMMA on silicon by 50 KeV H2+, 100 KeV and and 150 KeV Li+ ions are presented and it is shown that feature resolution is fundamentally limited by the physical processes through which energy is deposited.© (1982) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Journal ArticleDOI
TL;DR: In this paper, Monte Carlo simulations based on a continuous slowingdown approximation (CSDA) model have been used along with experiment to study the alignment signals formed by electrons that are backscattered from anisotropic etched baresilicon tapered step marks.
Abstract: Monte Carlo simulations based on a continuous slowing‐down approximation (CSDA) model have been used along with experiment to study the alignment signals formed by electrons that are backscattered from anisotropically etched bare‐silicon tapered step marks. The CSDA model is demonstrated to be useful for the study of electron backscattering, particularly for materials with low atomic number. It is shown that a step angle of 54.7° gives a backscattered electron signal close to the maximum and that universal curves for the effects of step height and beam voltage on contrast and average signal slope can be obtained by normalizing to the Bethe range. Alignment signals in ternary takeoff angles and quadrantal azimuthal angles are studied. The tradeoff in expanded signal‐to‐noise ratios for various detector angular configurations and for processed signals is examined. The detector scheme using low takeoff angles in the azimuthal quadrant facing the step is found to be the best. Experimental results from an ETEC...


Journal ArticleDOI
TL;DR: In this paper, high resolution electron beam lithography has been used to fabricate ion implanted buried channel MOSFET's with gate lengths ranging from 0.4 µm to 700 A.
Abstract: High resolution electron beam lithography has been used to fabricate ion implanted buried channel MOSFET's with gate lengths ranging from 0.4 µm to 700 A. Similar devices were also fabricated on the same chip using optical lithography with gate lengths of 2.5 µm. These devices include some with the smallest lithographically defined gates ever made in silicon; similar devices should help define the limits to miniaturization in semiconducting devices.

Journal ArticleDOI
TL;DR: The transition from optical techniques to x-ray or direct electron beam writing for the bulk of integrated circuit production will depend upon the quality, speed, and cost of the various sytems as mentioned in this paper.
Abstract: Electron beam lithography has become the principal production method for fabricating integrated circuit masks and reticles for 1–1 projection printing and for direct step‐on‐wafer exposure This has been the result of improved quality, lower cost, and high speed in writing patterns that are doubling in complexity every year Direct writing of devices has generally been limited to customizing single levels on gate arrays at relatively large dimensions or fabricating small devices such as microwave transistors for high operating speed The transition from optical techniques to x‐ray or direct electron beam writing for the bulk of integrated circuit production will depend upon the quality, speed, and cost of the various sytems The limitations on the performance of the various single spot, shaped beam, and multiple beam systems in meeting these requirements will be considered

Proceedings ArticleDOI
30 Jun 1982
TL;DR: The use of electrons whose energy is much lower results in a much more compact area of exposure and should result in improved linewidth control and in more efficient use of the exposing power.
Abstract: The two most serious problems in electron beam lithography are proximity effects and slow throughput. The former arises from the penetration of the electrons which, at conventional electron energies, can greatly exceed the size of the exposure element. Thus much of the exposing electron's energy is wasted. The use of electrons whose energy is much lower results in a much more compact area of exposure and should result in improved linewidth control and in more efficient use of the exposing power.© (1982) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Proceedings ArticleDOI
Wolfgang Fichtner1, R.K. Watts, D.B. Fraser, R.L. Johnston, S.M. Sze 
01 Jan 1982
TL;DR: In this article, a modified NMOS process was used to produce MOSFETs with channel lengths as short as 0.1 µm by using a modified negative resist GMC in a tri-level configuration.
Abstract: We have fabricated MOSFETs with channel lengths as short as 0.1 µm by a modified NMOS process. The devices have been designed according to parameters obtained from numerical simulation. Electron-beam lithography has been used to define patterns at all levels with the negative resist GMC in a tri-level configuration. Heat treatments have been as short as possible to preserve very shallow source-drain junction depths ( L = 0.14 µm, we obtain g m = 180 mS/mm for a gate oxide thickness of 160 A.

Journal ArticleDOI
TL;DR: In this article, the effects of photoelectrons and Auger electrons from the X-ray mask on the contrast and resolution were investigated in the replication of submicron resist patterns in Xray lithography.
Abstract: The effects of photoelectrons and Auger electrons from the X-ray mask on the contrast and resolution were investigated in the replication of submicron resist patterns in X-ray lithography. A resist thinner than 550 A was exposed to electrons generated by an Si–N membrane. The effect of electrons from the Au absorber is observed even with a 1 µm thick Au absorber pattern, because of the continuous radiation generated, shorter than the characteristic radiation. A polymer film coating on the Au absorber pattern increases the contrast of the mask, because electrons from the X-ray mask are absorbed by the polymer film.

Journal ArticleDOI
TL;DR: In this article, the ion and electron beam effects in polycrystalline phases of Ag2Se and its oxides produced by electroless deposition on a Ge0.25 Se0.75 thin film (negative inorganic resist) surface were investigated by transmission electron microscopy and microprobe analysis.
Abstract: The ion and electron beam effects in polycrystalline phases of Ag2Se and its oxides produced by electroless deposition on a‐Ge0.25 Se0.75 thin film (negative inorganic resist) surface were investigated by transmission electron microscopy and microprobe analysis. The disappearance of a few polycrystalline rings corresponding to Ag2Se and growth of needles of 300–5000 nm length and 7–50 nm width were observed when the resist was exposed to an ion dose which is less than the lithographic sensitivity of this inorganic resist. Interestingly, no needle growth was observed either in electron beam irradiated a‐Ge0.25 Se0.75 films, or in ion beam exposed as deposited a‐ Ge0.25 Se0.75 films (positive resist).


Patent
11 Jan 1982
TL;DR: In this paper, a method for fabricating indium variable thickness super conducting microbridges uses a single layer of electron resist and EBL to draw a mask which has a gap with a small amount of undercut.
Abstract: A method for fabricating indium variable thickness super conducting microbridges uses a single layer of electron resist and EBL to draw a mask which has a gap with a small amount of undercut. A thin layer of material is deposited at normal incidence to form the bridge and material deposited at a sufficiently large oblique angle forms the banks separately. Typical VTB's have bridges 1000 Å thick and <0.4 μm long with the banks 7 μm thick and Rn.sup.˜ 0.1Ω. The method can also form other non-hysteretic weak links such as the SNS junction.

Journal ArticleDOI
E.L. Hu1, Donald M. Tennant1, Richard Howard1, Lawrence D. Jackel1, P. Grabbe1 
TL;DR: In this paper, a tri-level e-beam resist process has been developed which has produced, by liftoff, planar metal features as fine as 25 nm wide by 5 Μm long on thick Si substrates.
Abstract: A high resolution, tri-level e-beam resist process has been developed which has produced, by liftoff, planar metal features as fine as 25 nm wide by 5 Μm long on thick Si substrates. The metal features have been used as masks for transfer of the pattern into the substrate itself, producing arrays of Si membranes, 50 nm wide, 0.3 pm high and extending 5 Μm in length. We compare the resolution of the tri-level system with that obtained for a previously reported bi-level system of similar composition.

Patent
10 Aug 1982
TL;DR: In this article, a high atomic number (Z) material is used to confine the scattering of the electron beams in the resist in order to slow down electron velocities without creating a great number of scattered electrons.
Abstract: Composite resist structures are described for processing submicron electrc and optical circuits requiring very good pattern resolution between lines because of extremely close spacings between circuit elements. The present invention discloses matrix and layer structures wherein high atomic number (Z) material is used to confine the scattering of the electron beams in the resist. A low Z material is used in combination with a high Z material in the layered configuration to slow down electron velocities without creating a great number of scattered electrons.

Journal ArticleDOI
TL;DR: In this article, the resolution limits imposed by the mechanical stability of reactive ion-etched poly (methylmethacrylate) and polyimide isolation layer structures in trilevel electron beam lithography were studied.
Abstract: Isolation layers are used in trilevel electron beam lithography to planarize wafer topography, reduce electron scattering effects, and increase the effective sensitivity and contrast of the lithographic process. The mechanical stability of the isolation layer structures may limit the overall resolution of the process. We have studied the resolution limits imposed by the mechanical stability of reactive ion‐etched poly (methylmethacrylate) and polyimide isolation layer structures in trilevel electron beam lithography. We show that processes can be designed with 50‐nm resolution.

Journal ArticleDOI
R.A. Morgan1, C.J. Pollard1
TL;DR: In this article, a nonthermal method of hardening positive photoresists by means of a scanned high-energy electron beam in preference to direct resist heating is described, and it has been established that moderate electron doses produce significant resist cross-linking without flow.
Abstract: A nonthermal method of hardening positive photoresists by means of a scanned high-energy electron beam in preference to direct resist heating is described. It has been established that moderate electron doses produce significant resist cross-linking without flow. Plasma etch resistance can be enhanced in the resists with no degradation of the as-developed line profile.

Journal ArticleDOI
Makoto Yoshimi1, K. Kawabuchi1, Tadahiro Takigawa1, M. Takahashi1, Yoshihide Kato1 
TL;DR: In this article, highvoltage electron-beam writing on polymethyl methacrylate (PMMA) at 50 kV with a dose of 50 µC/cm2 has been found to give an outstanding result of the simultaneous formation of isolated and arrayed quarter-micron window patterns of the same size as the electron beam diameter of a quarter micron.
Abstract: High-voltage electron-beam writing on polymethyl methacrylate (PMMA) at 50 kV with a dose of 50 µC/cm2 has been found to give an outstanding result of the simultaneous formation of isolated and arrayed quarter-micron window patterns of the same size as the electron-beam diameter of a quarter micron.