scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 1983"


Journal ArticleDOI
TL;DR: In this article, a single layer of polymethylmethacrylate (PMMA) was exposed by an approximately 2-nm−diam electron beam with energies ranging from 20 to 120 keV.
Abstract: Metal features with 10‐nm linewidths were produced on thick GaAs substrates using electron beam lithography. A single layer of polymethylmethacrylate (PMMA) was exposed by an approximately 2‐nm‐diam electron beam with energies ranging from 20 to 120 keV. Gold‐palladium lines less than 20 nm wide, and 15 nm thick, with center‐to‐center spacings of 70 nm, were produced over 15‐μm square fields at all electron beam energies by lift off. The exposure latitude increased significantly for higher electron energies, with 10‐nm‐wide metal lines formed using a 120‐keV writing beam.

136 citations


Journal ArticleDOI
TL;DR: In this paper, the reverse tone of the required pattern with a beam diameter dc=2σb ×(1+ηe)−1/4 and dose Qc=Qe ×[ηb/(1+b+e)], where σb is the radius of the Gaussian spatial distribution function of backscattered electrons at normally exposed pixels, and Qe is the dose delivered to normal exposed pixels.
Abstract: Compensation for the proximity effect in electron lithography can be achieved by equalization of the backscattered dose received by all pattern points. This is accomplished by exposing the reverse tone of the required pattern with a beam diameter dc=2σb ×(1+ηe)−1/4 and dose Qc=Qe ×[ηe/(1+ηe)], where σb is the radius of the Gaussian spatial distribution function of backscattered electrons at normally exposed pixels, ηe is the ratio of backscattered to forwardscattered energy, and Qe is the dose delivered to normally exposed pixels. This correction method has been confirmed to work for 500‐nm features by computer simulation of electron beam exposure and development and by experiment on a raster scan electron beam lithography system.

126 citations


Journal ArticleDOI
TL;DR: In this paper, the limitations on ω-tricosenoic acid deposition speed are investigated and a model is proposed to explain the observations, based on molecular reorganization and is thought to have general applicability to LB film deposition.

69 citations


Journal ArticleDOI
08 Jul 1983-Science
TL;DR: Techniques used to make structures, devices, and arrays only hundreds of atoms across are applied to experiments on surface-enhanced Raman scattering, transport in one-dimensional conductors, and macroscopic quantum tunneling.
Abstract: Research in microfabrication not only serves the microelectronics industry but also can provide research tools for studying the behavior of matter at submicrometer dimensions. A variety of techniques including optical, x-ray, and electron beam lithography and reactive ion etching can be used to make structures, devices, and arrays only hundreds of atoms across. Microfabrication techniques have been applied to experiments on surface-enhanced Raman scattering, transport in one-dimensional conductors, and macroscopic quantum tunneling. Recent progress is extending these techniques to scales of less than 100 angstroms.

57 citations


Patent
13 Oct 1983
TL;DR: In this paper, a photo-optical process is suggested where line patterns with decreasing ridge width in the photoresist are defined through electron beam projection, and where the developing process is discontinued prematurely.
Abstract: For compensating scattering losses of electrons in photoresists (proximity effect) which influence electron beam lithography by altering the pattern geometry it is suggested to expose selected partial areas of a pattern to an additional irradiation dosage in a second exposure step. For that purpose, a specific mask with corresponding correction openings can be used which is applied with the same, or with a different electron beam intensity. In a particularly advantageous manner the correction of the proximity effect can be achieved when complementary masks are used; the correction openings for the partial areas of the one complementary mask are arranged in the other complementary mask. The proximity effect is then corrected without an additional exposure step. For measuring the proximity effect a photo-optical process is suggested where line patterns with decreasing ridge width in the photoresist are defined through electron beam projection, and where the developing process of the photoresist is discontinued prematurely. The ridge edges which in the presence of the proximity effect are asymmetrical can be easily detected under the microscope.

56 citations


Journal ArticleDOI
TL;DR: In this article, a hybrid Monte Carlo model is used to calculate the generation and subsequent spatial trajectory of each secondary electron in the target and the three-dimensional spatial distribution of energy dissipation by such fast secondary electrons is shown to be the fundamental resolution limit for electron beam lithography with high voltage beams (100 keV) and thin film polymer targets.
Abstract: Computer simulation of high energy primary electron scattering and subsequent generation of ‘‘fast’’ secondary electrons in thin film targets is demonstrated with Monte Carlo techniques. A hybrid Monte Carlo model is utilized to calculate the generation and subsequent spatial trajectory of each secondary electron in the target. The three‐dimensional spatial distribution of energy dissipation by such fast secondary electrons is shown to be the fundamental resolution limit for electron beam lithography with high voltage beams (100 keV) and thin film polymer targets. The dependence of resolution on beam voltage and film thickness is presented, and quantitative comparison is made between these new Monte Carlo calculations and the limited amount of experimental data available in the scientific literature.

47 citations


Journal ArticleDOI
David C. Joy1
TL;DR: In this article, a Monte Carlo simulation was used to model two special situations: a thin, freestanding, resist and a thin resist on a bulk substrate to calculate the exposure conditions required for the highest resolution lithography in this condition.

42 citations


Journal ArticleDOI
TL;DR: In this paper, high resolution (approximately 10 nm) patterns were written at all beam energies with an exposure latitude that remained approximately constant up to energies for which the range of the backscattered electrons became significantly larger than the pattern area.
Abstract: We have performed electron beam lithography studies on thick substrates using beam energies of 20–120 keV and a nominal beam diameter of 2 nm in a Philips 400 electron microscope with scanning capability. Metal lines as narrow as 10 nm were fabricated on Si and GaAs substrates using liftoff of a single thin layer of resist. High resolution (approximately 10 nm) patterns could be written at all beam energies with an exposure latitude that remained approximately constant up to energies for which the range of the backscattered electrons became significantly larger than the pattern area. For large area patterns written with the small beam, the proximity effect is greatly reduced, even at 20 keV, because of the sharp edge of the exposure profile. At high beam energies, the range of backscattered electrons is large enough that they contribute only a slowly varying background dose, leading to a relatively simple proximity correction even for complex patterns.

35 citations



Journal ArticleDOI
TL;DR: In this paper, a new Monte Carlo simulation including generation of secondary electrons has been performed to study ultimate resolution in electron beam lithography, particularly in the vicinity of the point of incidence on nanometer-scale in 3D films of polymethylmethacrylate (PMMA) on a silicon substrate.
Abstract: A new Monte Carlo simulation including generation of secondary electrons has been performed to study ultimate resolution in electron beam lithography. With respect to the simulations of inelastic scattering process of the primary electrons we used Gryzinski’s excitation function for single electron excitation processes, generating secondary electrons, while the inelastic mean free path proposed by Seah and Dench for organic material and energy loss spectrum obtained by Ritsko were used for simulating the inelastic scattering processes of the secondaries. The calculations were made to obtain energy dissipation profile for 20‐kV electrons, particularly, in the vicinity of the point of incidence on nanometer‐scale in 3000‐A‐thick films of polymethylmethacrylate (PMMA) on a silicon substrate. The result clearly indicates that the secondary electrons play a most important role in determining the energy dissipation profile in the vicinity of the point of incidence, being the significant source of broadening of ...

31 citations


Patent
05 May 1983
TL;DR: In this article, a technique performed in a fixed address particle beam lithographic system where the writing is performed in the normal manner for writing a pattern, for example, a stripe on a resist having a selected feature width except that an additional row of alternate pixels is written either before or after the selected feature is written.
Abstract: A technique performed in a fixed address particle beam lithographic system where the writing is performed in the normal manner for writing a pattern, for example, a stripe on a resist having a selected feature width except that an additional row of alternate pixels is written either before or after the selected feature is written. The alternate pixels, when the resist is developed, will provide a feature width of approximately 1/2 a pixel wider than the selected feature width due to blurring of the latent image caused by scattering of the particle beam within the resist. Thus, the resolution of selectable feature widths is enhanced with little or no loss of throughput. The same technique can also be utilized to lengthen a feature by 1/2 a pixel width. The technique is disclosed primarily in a raster scan machine but also disclosed is the technique in a vector scan machine. Also disclosed is a flow chart showing the invention used while preparing the data to be written by the machine.

Patent
22 Jul 1983
TL;DR: In this paper, the authors present an apparatus for electron beam lithography consisting of at least one mask equipped with a polygonal aperture to be subjected to an electron beam from an electron generator, an electron lens system for demagnifying and imaging the mask, and a solenoid coil for electron rotation adjustment placed between the mask and the final-stage electron lens.
Abstract: An apparatus for electron beam lithography comprises at least one mask equipped with a polygonal aperture to be subjected to an electron beam from an electron beam generator, an electron lens system for demagnifying and imaging the polygonal aperture of the mask, and a solenoid coil for electron beam rotation adjustment placed between the mask and the final-stage electron lens.

Journal ArticleDOI
TL;DR: In this paper, the outlines of individual features in the pattern are exposed, leaving the completion of the features to a later processing step which is novel in concept but compatible with conventional processing, which can potentially reduce exposure time considerably, reduce proximity effects in the exposure process and reduce electron damage to the substrate.
Abstract: We describe a new approach to the lithography of thin films and thin‐film devices. Instead of fully exposing a pattern in, for example, electron beam or ion beam lithography, only the outlines of individual features in the pattern are exposed, leaving the completion of the features to a later processing step which is novel in concept but compatible with conventional processing. This approach can potentially reduce exposure time considerably, reduce proximity effects in the exposure process and reduce electron damage to the substrate. As a specific example we describe a process applicable to electron beam fabrication of chrome master masks for photolithography.

Journal ArticleDOI
TL;DR: The fabrication of microstructures with minimum dimensions below 100 nm introduces several additional problems not normally associated with electron-beam lithography at the 1/2 to 1 μm level as discussed by the authors.
Abstract: The fabrication of microstructures with minimum dimensions below 100 nm introduces several additional problems not normally associated with electron‐beam lithography at the 1/2 to 1 μm level. The quality of a 100 nm resist image, when defined by an electron beam pattern generator, depends strongly upon the exposure conditions such as beam energy and dose. Another important factor is the substrate, since it greatly influences the range and amount of backscattered electrons and, hence, the exposure contrast achievable in a given resist system. There is generally a big difference in energy deposited in the resist whether the exposure is performed on a thin membrane, on bulk silicon, or on a thick layer of a heavy metal, and also whether a single thin layer of resist or thick two or three‐layer systems are used. The characteristics of the resist and the development process itself are important aspects, too. In addition to controlling the resist image, one must also consider the properties and requirements of the subsequent transfer process to the working material such as lift‐off, plating, ion milling, and reactive ion etching. The specific process is often dictated by the materials and the geometry of the particular structure to be fabricated. So, as many of the conditions interact, it is impossible to define a single process that would be suitable for the wide range of applications that structures of this size are intended for. Using examples of x‐ray zone plates and FET gate definition, we discuss the merits of some of the processes used together with the techniques and problems associated with the evaluation of process performance at these dimensions.

Journal ArticleDOI
TL;DR: In this article, a multiple-beam approach was investigated, where an array of beams are focused and scanned by a common system but the individual blanking is accomplished using an assembly fabricated with silicon micromachining technology and shadow mask evaporation of blanking electrodes 50 μm wide, 400 μm deep.
Abstract: Slow throughput is the major problem of scanning beam lithography. We have investigated a multiple beam approach in which an array of beams is focused and scanned by a common system but the beams are blanked independently. The individual blanking is accomplished using an assembly fabricated with silicon micromachining technology and shadow mask evaporation of blanking electrodes 50 μm wide, 400 μm deep. Analysis and experimental evaluation both indicate that blanking can be achieved with this configuration. Choosing an optimum design involves trade offs between ease of illumination, ease of fabrication, positional control, and space charge blurring. However, extension to 16 or more beams looks quite possible.

Patent
29 Jun 1983
TL;DR: In this article, a positive type transparent electron beam resist 5 formed on a glass substrate for constituting a micro-Fresnel lens is exposed by using electron beam spots 6 each having a diameter equal to an exposure pitch P and a beam intensity distribution of a steep mountain form, and electron beam 7 each having diameter twice the pitchP and abeam intensity distribution in a gentle mountain form.
Abstract: PURPOSE:To alleviate roughening caused in accordance with the intensity distribution of electron beam spots by using specified electron beam spots for an electron beam resist, and exposing the resist to electron beams changed in exposure amt. in accordance with exposure positions. CONSTITUTION:A positive type transparent electron beam resist 5 formed on a glass substrate 4 for constituting a micro-Fresnel lens is exposed by using electron beam spots 6 each having a diameter equal to an exposure pitch P and a beam intensity distribution of a steep mountain form, and electron beam spots 7 each having a diameter twice the pitch P and a beam intensity distribution of gentle mountain form. The same spot 7 is used at the exposure positions a2, a3, a4-, and to change exposure amt., e.g., the electron beam exposure amt. is kept constant, and scanning times are decreased in the order of the positions a2, a3, a4-. When the resist 5 is developed, the parts irradiated with the beam spots 6, 7 are dissolved off, and ring bands 5a are formed.

Journal ArticleDOI
TL;DR: An electron-beam direct-writing technology for the fabrication of short-channel n+sef-aligned (SAINT) GaAs MESFET's is discussed in this article where a four-level multiresist which includes a thin Mo layer is developed to avoid charging in the semi-insulating GaAs substrate.
Abstract: An electron-beam direct-writing technology for the fabrication of short-channel n+sef-aligned (SAINT) GaAs MESFET's is discussed. A four-level multiresist which includes a thin Mo layer is developed to avoid charging in the semi-insulating GaAs substrate. The alleviation of short channel effects is experimentally demonstrated by reducing the n+layer depth. A ring oscillator with a 0.3-µm-long gate SAINT FET shows a minimum propagation delay time of 16.7 ps with an associated power dissipation of 7.3 mW, which is one of the fastest among room-temperature semiconductor devices.

Journal ArticleDOI
TL;DR: In this paper, the insertion loss of a 2-μm-wide monomode guide with a radius of 300 μm was measured for a GaAs/GaAlAs with a minimum combined bending and scattering loss of 0.6 dB/rad.
Abstract: Small-radii curved optical waveguides have been fabricated in GaAs/GaAlAs using electron-beam lithography. Ion-beam milling was used as an isotropic etch. The insertion loss of these devices was limited not by bending loss but by input coupling and scattering loss from rib-wall imperfections. A minimum combined bending and scattering loss of 0.6 dB/rad was measured for a 2-μm-wide monomode guide with a radius of 300 μm.

Journal ArticleDOI
TL;DR: In this paper, the advantage of high voltage electron beam lithography in submicron VLSI fabrication is outlined, and the authors show that EB systems with small deflection width are suited to high voltage EB machines.

Journal ArticleDOI
TL;DR: In this paper, the authors examined the application of image processing methods to the proximity correction problem and found that, while the match between these disciplines is not perfect, the idea appears quite promising.
Abstract: A limitation on the quality of electron beam lithography is the proximity effect. This produces exposure of the resist at locations remote from the point of incidence of the electron beam. One of the techniques used to mitigate this problem is to precompensate the applied beam dose. Traditional approaches to this problem have required extensive calculations which occasionally fail to produce satisfactory results. However, the proximity correction problem is quite similar to the edge enhancement problem which arises in pattern recognition. Furthermore, the issue of data base compaction for the precompensated lithography is quite similar to bandwidth compression in image transmission. In this paper, we examine the application of image processing methods to the proximity correction problem. We find that, while the match between these disciplines is not perfect, the idea appears quite promising.

Patent
26 Oct 1983
TL;DR: In this article, a technique for the fabrication of devices and circuits using multiple layers of materials, where patterned layers of resists are required to make the device or circuit, is described by the selective removal of portions of the resist layer by ablative photodecomposition.
Abstract: A technique is described for the fabrication of devices and circuits using multiple layers of materials, where patterned layers of resists are required to make the device or circuit. The fabrication process is characterized by the selective removal of portions of the resist layer by ablative photodecomposition. This decomposition is caused by the incidence of ultraviolet radiation of wavelengths less than 220 nm, and power densities sufficient to cause fragmenta­ tion of resist polymer chains and the immediate escape of the fragmented portions from the resist layer. Energy fluences in excess of 10 mJ/cm²/pulse are typically required. The deliverance of a large amount of energy in this wavelength range to the resist layer in a sufficiently short amount of time causes ablation of the polymer chain fragments. No subsequent development step is required for patterning the resist layer.

Journal ArticleDOI
TL;DR: In this article, a multilayer film edge technique has been developed for the fabrication of dual small area tunnel junctions on the aligned edges of two overlapping thin films separated by a thin insulating layer, with a common electrode shared by the two junctions.
Abstract: A multilayer film edge technique has been developed for the fabrication of dual small area tunnel junctions on the aligned edges of two overlapping thin films separated by a thin insulating layer, with a common electrode shared by the two junctions. Using this technique with electron beam lithography, junction areas as small as 3 × 10-10A/cm2have been produced with separations between the two tunnel barriers of 50-80 nm. Devices of this type have been fabricated with Pb alloy counterelectrodes on Nb edges, using reactive ion beam oxidation to obtain tunnel current densities in the 105A/cm2range. The potential of this multijunction configuration as a high speed, three terminal Josephson device with current and power gain has been investigated. In addition, the edge junctions have been employed to probe non-equilibrium phenomena in the common electrode.

Journal ArticleDOI
TL;DR: In this paper, the authors used a multilayer resist process to eliminate extraneous factors such as surface topography, reflectivity, defocusing, etc., and demonstrated that optical lithography can be pushed beyond 1 μ into the submicron range.
Abstract: Analysis has shown that the limiting factor in achieving 1 μ technology in optical lithography is mainly due to nonideal operating conditions such as surface topography, reflectivity, defocusing, etc. By using a multilayer resist process to eliminate these extraneous factors, we have demonstrated that optical lithography can be pushed beyond 1 μ into the submicron range. The advantages and problems encountered with the bilayer DUV flood exposure process were pointed out. One of the most serious problems, due to reflected light from surface topography, was corrected by using an antireflecting coating between the PMMA and AZ resist layers. This antireflection coating layer also eliminates the interface mixing between PMMA and AZ resists. A trilayer resist process was developed based on the highly directional RIE process. A new barrier film based on spin‐on‐glass was optimized to obtain defect free films. This spin‐on barrier layer greatly simplifies the work flow and provides significant cost savings over t...

Journal ArticleDOI
TL;DR: In this paper, a multilayer resist process consisting of a thin antireflective (AR) film between the thick bottom PMMA layer and the top photoresist layer of the conventional bilayer resist processes is reported.
Abstract: A new multilayer resist process consisting of a thin antireflective (AR) film between the thick bottom PMMA layer and the top photoresist layer of the conventional bilayer resist process is reported in this paper. Aluminum features of micron and submicron size over topography have been achieved with this novel resist process by using a conventional 10:1 reduction GCA DSW 4800 stepper to expose the top photoresist layer. Excellent linewidth control over steps is demonstrated. Both the standing wave effect in the top resist layer and the interference from the light scattered by the substrate topography are eliminated in this AR coating approach. The mixing of the two resist layers encountered in the original bilayer resist process does not appear in this new process. The plasma etch resistance of this new multilayer resist process is improved by retaining the capping top photoresist layer, which also eliminates the delamination of the AR layer during the PMMA development. This novel resist process provides ...

Journal ArticleDOI
TL;DR: Swelling behavior and resist performance of a series of chlorinated polymethylstyrenes, CPMS, were investigated in this article, and it was found that Cellosolve acetate or methyl isobutyl ketone was the most suitable developer.
Abstract: Swelling behavior and resist performance of a series of chlorinated polymethylstyrenes, CPMS, were investigated. Measurements of swelling ratio and resolution of the CPMS resist coating in a wide variety of organic solvents disclosed that resolution depends on the swelling ratio. In addition, it was found that Cellosolve acetate or methyl isobutyl ketone was the most suitable developer, and that the critical swelling ratio might be 3.2 (=1.473) for screening of a developer. Functional testings on CPMS showed the resist had considerably high sensitivity as well as high contrast and dry etch resistance. The selectivity ratio of the resist to aluminum, when the resist was used in a dry etching process, was 1.5 times as high as that of the conventional Novolak‐type positive‐working photoresist.

Journal ArticleDOI
TL;DR: In this paper, the use of low energy electron beams (<5 keV) to expose polymeric resists has been limited due to worse aberrations, lower available current density, and the difficulties involved in spinning on a very thin continuous resist layer.
Abstract: The use of low energy electron beams (<5 keV) to expose polymeric resists has been limited due to worse aberrations, lower available current density, and the difficulties involved in spinning on a very thin continuous resist layer. The first two problems can be eliminated by applying a retarding electric field directly above the workpiece. Computer simulations showing space charge effects on the probe size and improved aberrations coefficients are presented. An inorganic resist, GeSex, is ideally suited for this lithography due to the very shallow active layer ~0.01 um. Experimental results showing the favorable effects due to lower electron landing energy on the required dose and proximity effects are also presented. Lateral scattering in the resist is simulated by Monte Carlo techniques for 2 and 20 keV isolated, unexposed, submicron lines.

Proceedings ArticleDOI
28 Nov 1983
TL;DR: In this article, the Schottky barrier diodes were evaluated for picosecond pulse measurements and a peak quantum efficiency of over 30 percent was obtained, and the usable spectral responsivity extended beyond 2 μm.
Abstract: Interdigital silicon Schottky barrier diodes have been evaluated for picosecond pulse measurements. Structures with clearly defined receiving apertures and submicrometer contact spacings were created with electron beam lithography. The detectors exhibit saturation currents corresponding to the absorbed optical power. Impulse response widths were less than 50 ps, and response maps yielded uniform patterns. A peak quantum efficiency of over 30 percent was obtained, and the usable spectral responsivity extends beyond 2 μm.© (1983) COPYRIGHT SPIE--The International Society for Optical Engineering. Downloading of the abstract is permitted for personal use only.

Patent
Kazufumi Ogawa1
20 Jan 1983
TL;DR: In this article, the electron beam is used to write a pattern over a resist layer on a wafer, but the resist layer is exposed by X-rays, and the pattern can be obtained with high degree of resolution.
Abstract: In the patterning process in the fabrication of VLSI, LSI and IC systems, the electron beam is used to write a pattern over a resist layer on a wafer, but the resist layer is exposed by X-rays. More particularly, a finely focused beam of electrons writes a pattern on a thin metal film formed over a resin layer which in turn is formed over a wafer and the secondary X-rays; that is, the characteristics X-rays (such as Kα) emitted from the thin metal film when the electron beam strikes it, expose the resist layer which is sensitive to the X-rays, whereby a high degree of resolution can be obtained.

Journal ArticleDOI
TL;DR: The application of IBM’s 3rd generation direct write electron beam lithography tool EL‐3 has been extended into the submicron region with tool modifications in conjunction with suitable process adjustments.
Abstract: The application of IBM’s 3rd generation direct write electron beam lithography tool EL‐3 has been extended into the submicron region. This was accomplished with tool modifications in conjunction with suitable process adjustments. EL‐3 is presently being used in both development and manufacturing for the exposure of wafers and masks. It was designed as a high current, high throughput tool to cover lithography requirements down to 1 μm minimum dimensions. To move into the 0.5 μm realm, no basic architecture changes were made, but the beam current, deflection speeds, and maximum spot and field sizes were reduced to make the trade‐offs against throughput that were necessary and acceptable for the system’s application to technology development. A single layer resist process was devised to demonstrate fully the performance capability of the tool. The process uses top surface imaging which allows one to analyze tool performance without excessive distortions. Spot‐to‐spot butting and illumination consistency were...

Journal ArticleDOI
TL;DR: In this paper, a Monte Carlo simulation including generation of secondary electrons combined with development process was applied to study the ultimate resolution of electron beam lithography, and the result showed a developed profile given by Neureuther et al. for polymethylmethacrylate (PMMA).
Abstract: A new Monte Carlo simulation including generation of secondary electrons combined with development process was applied to study ultimate resolution of electron beam lithography. With respect to the simulation of inelastic scattering processes of the primary electrons we used Gryzinski’s excitation function for single electron excitation processes, and for generating secondary electrons, while the inelastic mean free path proposed by Seah and Dench for organic materials, and the energy loss spectrum obtained by Ritsko were used for simulating the inelastic scattering processes of the secondaries. The etching process which we used is the time evolution development proposed by Neureuther et al., using the model of Hatzakis et al. for polymethylmethacrylate (PMMA). The calculations were made to assess the line‐profile resist development of 30 nm‐thick films of PMMA on 60 nm‐thick silicon substrate for line exposure by a parallel electron beam 6 nm wide at 50 keV. The result shows a developed profile given by ...