scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 1987"


Journal ArticleDOI
TL;DR: In this article, the authors demonstrate a technique, using a very high contrast resist, whereby the normalized point exposure distribution can be measured experimentally, both on solid substrates which cause backscattering, and on thin substrates where backscatter is negligible.
Abstract: The exposure distribution function in electron beam lithography, which is needed to perform proximity correction, is usually simulated by Monte Carlo techniques, assuming a Gaussian distribution of the primary beam. The resulting backscattered part of the exposure distribution is usually also fitted to a Gaussian term. In this paper we demonstrate a technique, using a very high contrast resist, whereby the normalized point exposure distribution can be measured experimentally, both on solid substrates which cause backscattering, and on thin substrates where backscattering is negligible. The data sets so obtained can be applied directly to proximity correction and represent the practical conditions met in pattern writing. Results are presented of the distributions obtained on silicon, gallium arsenide, and thin silicon nitride substrates at different beam energies. Significant deviations from the commonly assumed double Gaussian distributions are apparent. On GaAs substrates the backscatter distribution cannot adequately be described by a Gaussian function. Even on silicon a significant amount of exposure is found in the transition region between the two Gaussian terms. This deviation, which can be due to non‐Gaussian tails in the primary beam and to forward scattering in the resist, must be taken into account for accurate proximity correction in most submicron lithography, and certainly on the sub‐100 nm scale.

162 citations


Journal ArticleDOI
TL;DR: It is experimentally demonstrated that the lens arrays showed uniform focusing characteristics, and that each lens exhibited a diffraction-limited focusing characteristic with efficiency of 74%.
Abstract: Rectangular-apertured micro-Fresnel lens arrays are proposed. These lens arrays have been fabricated by an electron-beam writing system specially developed for the fabrication of microoptical devices. It is experimentally demonstrated that the lens arrays showed uniform focusing characteristics, and that each lens exhibited a diffraction-limited focusing characteristic with efficiency of 74%.

82 citations


Journal ArticleDOI
TL;DR: In this article, the authors used a scanning tunneling microscope (STM) to generate very fine beams of very low energy electrons (below 100 eV) and employed the thinnest possible resists.
Abstract: The scanning tunneling microscope (STM) can be used to generate very fine beams of very low energy electrons (below 100 eV). Under optimum conditions the beam diameter is proportional to beam voltage and hence, when using such a beam as an exposure tool it is desirable to employ the thinnest possible resists. The metal halides in general have several properties that make them attractive candidates for use in a scanning tunneling microscope. They are easily evaporated as thin films of thickness from 10 to 100 nm. It is important that an insulating resist film be thin so that the electrons can penetrate it and the tip does not crash into it. Thicker films require a higher accelerating voltage and this degrades resolution. The sensitivity of the metal halides is on the order of 1 C/cm2, which coincides with the dose range most readily obtainable in our instrument. Finally, the exposure voltage threshold of the metal halides is thought to be in the range of a few tens of volts. This is low enough to take adva...

54 citations


Journal ArticleDOI
TL;DR: In this article, the authors laterally patterned the narrowest conducting wires of two-dimensional electron gas (2DEG) material reported to date using ion beam assisted etching by Cl2 gas and Ar ions with energies as low as 150 eV.
Abstract: We have laterally patterned the narrowest conducting wires of two-dimensional electron gas (2DEG) material reported to date. The depletion induced by low-energy ion etching of GaAs-AlGaAs 2DEG structures was used to define narrow conducting channels. We employed high voltage electron beam lithography to create a range of channel geometries with widths as small as 75 nm. Using ion beam assisted etching by Cl2 gas and Ar ions with energies as low as 150 eV, conducting channels were defined by etching only through the thin GaAs cap layer. This slight etching is sufficient to entirely deplete the underlying material without necessitating exposure of the sidewalls that results in long lateral depletion lengths. At 4.2 K, without illumination, our narrowest wires retain a carrier density and mobility at least as high as that of the bulk 2DEG and exhibit quantized Hall effects. Aharonov–Bohm oscillations are seen in rings defined by this controlled etch-damage patterning. This patterning technique holds promise for creating one-dimensional conducting wires of even smaller sizes.

45 citations


Patent
10 Sep 1987
TL;DR: In this paper, an apparatus for detecting faults on the surface of a resist master disc and for measuring the thickness of the resist coating layer includes a single light source for generating a laser beam for inspection of the master disc, a first separator for separating the laser beam into a first laser beam which is used for the detection of faults, and a second laser beam that is used to measure the thickness.
Abstract: An apparatus for detecting faults on the surface of a resist master disc and for measuring the thickness of the resist coating layer includes a single light source for generating a laser beam for inspection of the master disc, a first separator for separating the laser beam into a first laser beam which is used for the detection of faults, and a second laser beam which is used to measure the thickness of the resist coating layer. The second laser beam is further separated into two laser beams one of which is irradiated on the surface of the resist coated master disc at a given angle of incidence. The detection of faults on the surface of the master disc is performed by detecting a level change of the quantity of reflection light of the first laser beam from the resist master disc, and the measurement of the thickness of the resist layer is performed by using a ratio between quantities of two laser beams obtained from the second laser beam one of which is detected after being reflected by the surface of the resist master disc.

30 citations


Journal ArticleDOI
TL;DR: In this paper, a selectively doped GaAs-AlGaAs submicron ring with a width of 0.35μm and a diameter of 1μm was fabricated from a MBE grown film making use of electron beam lithography and dry etching methods.

25 citations


Journal ArticleDOI
TL;DR: In this article, the current density as a function of position using a Monte Carlo program was modeled to follow the trajectories of random electrons, and the results showed that these electrons cause a background fog exposure of about 10% of the primary beam with a radius several times that of the secondary beam.

20 citations


Journal ArticleDOI
TL;DR: In this article, Monte Carlo simulations have been performed for electron scattering, energy distribution, and line profiles for beam voltages from 50 to 500 kV and beam sizes from 0.01 to 1 μm.
Abstract: Electron beams at very high voltages, up to 500 kV, have been used to expose PMMA electron resist. It has been shown that near vertical line shapes can be formed in resist layers which are several microns thick. Monte Carlo simulations have been performed for electron scattering, energy distribution, and line profiles for beam voltages from 50 to 500 kV and beam sizes from 0.01 to 1 μm. These indicate that beam voltages up to 250 kV are necessary to fully expose a nanometer scale pattern with an aspect ratio of line height to width exceeding ten times. The dose required to expose a complete line has been found to increase almost linearly with increasing voltage. A novel direct fabrication technique which does not require resist has been demonstrated using high voltage beams. The silicon substrate is damaged in localized regions by using electron beams at energies greater than 250 kV. These parts are etched away using a selective silicon etch and patterns are formed in the substrate. This fabrication method is believed to be potentially capable of allowing sub‐100 A structures to be formed by electron lithography in silicon.

17 citations


Journal ArticleDOI
TL;DR: In this paper, the authors describe the fabrication of 0.1 μm rings in modulation-doped GaAs using electron beam lithography and reactive ion etching, which can be used to study a variety of quantum conduction and electron scattering effects.
Abstract: Techniques have been developed to make silicon metal‐oxide‐semiconductor field‐effect transistor (MOSFETs) with minimum dimensions as small as 25 nm for fundamental electron transport studies. These devices have been used to study a variety of quantum conduction and electron scattering effects. At a given size scale, these quantum effects can be increased by replacing silicon in these devices with a high mobility, low electron mass semiconductor like GaAs. To make such devices, we have extended our technology to the fabrication of III–V semiconductor nanostructures. As an example of this, we describe the fabrication of 0.1 μm rings in modulation‐doped GaAs using electron beam lithography and reactive‐ion etching.

17 citations


Journal ArticleDOI
TL;DR: The entire sixteen-element Mueller scattering matrix has been experimentally determined for several small rectangular cross-sectioned aluminum lines whose known dimensions are of the order of the incident light (wavelength lambda = 4416 A).
Abstract: The entire sixteen-element Mueller scattering matrix has been experimentally determined for several small rectangular cross-sectioned aluminum lines whose known dimensions are of the order of the incident light (wavelength λ = 4416 A). Each line was fabricated on top of a smooth reflecting aluminum surface using electron beam lithography techniques. The Mueller matrix of the surface plus the line was measured as a function of the increasing size (height and width) of the line for various angles of illumination α. The results are compared to scattering and diffraction data from a single slit and opaque circular cylinder of equal dimensions.

15 citations


Journal ArticleDOI
TL;DR: In this paper, the effect of acceleration voltage (15, 20, and 30 kV) and electron beam size (0.5-4 μm) on photomasks' linewidth was investigated.
Abstract: Variable‐shaped electron beam systems offer high throughput in electron beam lithography. However, excessive heating of resist on low thermal conductivity substrates and the proximity effect act to degrade the linewidth of photomasks. The effect of acceleration voltage (15, 20, and 30 kV) and electron beam size (0.5–4 μm⧠) linewidth was investigated in positive (RE5000P) and negative (CMS) resist. An acceleration voltage of 20 kV is seen to be suitable for mask fabrication in patterns with features larger than 1 μm from the viewpoint of linewidth accuracy and pattern quality.

Journal ArticleDOI
TL;DR: In this paper, a series of new cathodoluminescence lines are attributed to transitions from quantum states of electrons laterally confined in a graded potential, which are characterized by cathode-lotinescence and transmission electron microscopy.

Journal ArticleDOI
TL;DR: The EB60 as mentioned in this paper is a high throughput, high precision e-beam direct writing system for both submicron VLSI memories and application specific integrated circuits (ASICs) with ± 0.1 μm overlay accuracy.
Abstract: A high‐throughput, high‐precision e‐beam direct writing system, the EB60, has been developed for both submicron VLSI memories and application specific integrated circuits (ASICs). The throughput is twenty and eighty 4‐in. wafers per hour for 0.5 μm VLSI memories and 0.8 μm ASICs, respectively, with ±0.1 μm overlay accuracy. To achieve this performance, a novel drawing method and the following key subsystems were developed: (1) Vector beam scanning during continuous stage movement with an advanced three dimensional registration method, which has reduced overhead time and results in a well balanced system design. (2) Variable shaped beam electron optical column with 0.2 μm beam edge resolution at 2.0×1.5 (1.5×2.0) μm maximum beam size and a current density of up to 70 A/cm2. (3) High‐speed beam deflection and dynamic focus system, including high‐speed analog circuits, all‐electrostatic deflectors, and an electrostatic dynamic focus lens. (4) Fast pattern controller, which executes 16 Mshot/s pattern data ge...

Patent
15 May 1987
TL;DR: In this paper, the electron mask includes a mask wafer apertured to define one or more frames supporting a panes of electron permeable membrane material having an average atomic number less than 14 and each supporting a patterned layer of electron absorbing material defining the mask patterns.
Abstract: In an electron beam lithography system, a layer of photoresist is exposed in vacuum by a collimated flood beam of electrons passing through an electron mask in nominal contact with the photoresist to define the exposed images. The electron mask includes a mask wafer apertured to define one or more frames supporting one or more panes of electron permeable membrane material having an average atomic number less than 14 and each supporting a patterned layer of electron absorbing material defining the mask patterns. Suitable electron permeable membrane materials include BN, BC, SiC, Si 3 N 4 and Al 4 C 3 of a thickness of 0.1 μm to 2 μm.

Journal ArticleDOI
TL;DR: In this paper, a tri-level structure was used which consisted of PMMA as the electron-sensitive material, titanium as the middle, masking layer, and polymide as the buffer layer on top of a gold plating base.

Proceedings ArticleDOI
Makoto Nakase1, Takashi Sato1, Nonaka Misako1, Iwao Higashikawa1, Yasuhiro Horiike1 
30 Jun 1987
TL;DR: In this article, the potential of excimer laser lithography was studied by using a newly developed KrF excimer LEX exposure system which employed an achromatic lens of 0.37 NA.
Abstract: The potential of excimer laser lithography was studied by using a newly developed KrF excimer laser exposure system which employed an achromatic lens of 0.37 NA. As a result, a resolution limit of 0.3 μm was achieved by the use of PMGI resist on a tri-level structure. However, for the case of resist exposure on a bare Si wafer, the resist film remailed locally in layers along the nodes of the standing waves, and fine pattern could not be obtained. This phenomenon is called spotted development in this paper. The spotted development, which is due to strong standing waves within the resist film, was successfully solved by the use of new resist process technologies such as a bias exposure method and excimer laser image reversal process.

Journal ArticleDOI
TL;DR: In this paper, a two-dimensional electron gas has been made on GaAs-AlGaAs heterostructures using high-voltage electron beam lithography, for the investigation of the physics of low-dimensional structures, such as quantum interference (weak localisation), the Aharonov-Bohm (AB) effect and the Quantum Hall effect.

Patent
05 Jan 1987
TL;DR: In this article, an improved method of height measurement and correction for a two-stage deflection ("fly's eye" lens) electron beam accessed lithography system is provided which employs a height measuring transducer such as a capacitance gauge or an optical gauge and a two position fiducial calibration grid (LFG) set at positions LFG1 and LFG2 a known distance apart and wherein the electron beam of the electronbeam of the microscopy system is sequentially deflected to the two positions for each lenslet being corrected.
Abstract: An improved method of height measurement and correction for a two-stage deflection ("fly's eye" lens) electron beam accessed lithography system is provided which employs a height measuring transducer such as a capacitance gauge or an optical gauge and a two position fiducial calibration grid (LFG) set at positions LFG1 and LFG2 a known distance apart and wherein the electron beam of the electron beam lithography system is sequentially deflected to the two positions for each lenslet being corrected. Measurement signals derived at each position are processed pursuant to a unique algorithm to derive desired height correction output signals and height corrected deflection signals for control of the fine deflector of the electron beam lithography system.

Patent
10 Apr 1987
TL;DR: In this paper, a shadow plate is used to obstruct the flux of backscattered electrons produced by an electron beam and cast a shadow across a measurement detector, which is sensitive to the position of the shadow.
Abstract: A specimen distance measuring system uses a plate (36) to obstruct the flux of backscattered electrons produced by an electron beam (18), and to cast a shadow across a measurement detector (32) which is sensitive to the position of the shadow. The shadow plate (36) and measurement detector (32) are aligned at an angle of approximately 45 degrees with a substrate (14) in order to allow calibration of the distance measuring system by scanning the electron beam (18). The measuring system is particularly useful as a height sensor (10) in an electron beam lithography apparatus (12) for sensing the height of a substrate (14). The distance measuring system may also include a reference detector (34) which is positioned in order to receive backscattered electron flux without obstruction from the shadow plate (36). The use of such a reference detector (32) is advantageous in allowing compensation of the signals obtained by the measurement detector, in order to allow the height sensor to operate independently of variations in electron beam current, and variations in substrate backscatter coefficient. The reference and measurement detectors (34,32) may be aligned in a vertical or horizontal plane to be either parallel to or perpendicular to the bombardment electron beam (18). Active feedback may be provided from the height sensor (10) to a vertical stage actuator for adjusting the height of the substrate (14).

Journal ArticleDOI
TL;DR: An advanced nanometric electron beam lithography system EB-F has been developed for high-speed writing applications beyond the laboratory as discussed by the authors, which achieves a minimum beam diameter of 30 nm with a beam current of 3 nA, a deflection field of 2×2 mm.
Abstract: An advanced nanometric electron beam lithography system EB–F has been developed for high‐speed writing applications beyond the laboratory. The EB–F achieves a minimum beam diameter of 30 nm with a beam current of 3 nA, a deflection field of 2×2 mm. A triple deflection system was developed to achieve the stepping rate of 100 MHz. This system consists of a magnetic main deflector, an electrostatic subdeflector and an electrostatic fill‐in deflector. The maximum size of the fill‐in field is 2×2 μm, with a minimum positioning step of 10 nm. An electron gun using a new Ti–W thermal field emitter was also adopted. The gun has high brightness of 108 A/cm2/sr and long term stability. The EB–F has two writing modes. They are the high resolution mode with 30 nm at 3 nA and the fast writing mode with 90 nm at 27 nA. They are selected by switching condenser lenses. Acceleration voltages of 20 and 50 kV can also be selected. These new technologies achieve a greater than hundredfold increase in writing speed. A chip consisting of 40% coverage with 0.1 μm feature size in the 2×2 mm field can be written in 162 s on 30 μC/cm2 resist.

Book ChapterDOI
N. Eib1, D. Kyser2, R. Pyle1
TL;DR: Theoretical modeling of the electron-beam resist process is a valuable aid to experimental design and interpretation as discussed by the authors, and general modeling of electron scattering and energy deposition in resist-film-silicon-substrate targets has been accomplished with Monte Carlo calculations.
Abstract: Publisher Summary Theoretical modeling of the electron-beam resist process is a valuable aid to experimental design and interpretation. General modeling of electron scattering and energy deposition in resist-film–silicon–substrate targets has been accomplished with Monte Carlo calculations. The technology of electron-beam lithography (EBL) depends on the interaction of a focused electron beam with a polymeric resist film or multilayer film structure on a non-polymeric substrate. In general, electron irradiation of a polymeric film produces microstructural changes, such as polymer chain scission in positive resists or polymer chain cross-linking in negative resists. Such changes produce patterns in the resist film with differing solubility rates that correspond to the pattern of the original electron-beam exposure pattern. The rapid investigation of EBL processes through simulation is an important component of the art and science of the technology and will become even more important in the era of very large scale integrated (VLSI). As EBL becomes more mature and pattern dimensions become ever smaller, process simulation will become a necessity.

Journal ArticleDOI
TL;DR: In this paper, the authors describe the use of gratings, placed on boron-nitride membranes and exposed with electron beam lithography, as a method of obtaining both qualitative and quantitative information about x-ray mask in-plane distortions.
Abstract: We describe the use of gratings, placed on boron–nitride membranes and exposed with electron beam lithography, as a method of obtaining both qualitative and quantitative information about x‐ray mask in‐plane distortions. The mask is placed at an angle α≂arcsin λ/d0 measured with respect to an incident plane wave front (λ=6328 A for the He–Ne laser and d0=1.0 μm for our grating pitch). A mirror, placed at right angles to the mask, is positioned so that it also intersects the incident plane wave front. Both the resultant diffracted wave front and the reflected diffracted wave front are then collected by a lens system and video camera. The grating is written on all or part of the active area of the mask. The process of developing and patterning the trilayer resist structure and absorber film is identical to that of processing an x‐ray mask. Patterned gratings allow us to observe: 1) stage placement errors to <0.1 μm, 2) in‐plane errors induced by the mounting fixture, 3) in‐plane distortions due to thermal g...

Journal ArticleDOI
TL;DR: In this paper, the relationship between beam size, beam control, beam size and proximity correction was examined and it was shown that it is possible, but difficult, to successfully use electron-beam lithography under these conditions.
Abstract: Presently available electron‐beam lithography systems for submicron lithography have minimum beam sizes or edge resolution on the order of 0.1–0.2 μm. Satisfactory proximity correction, linewidth control, and profile quality are critically dependent on beam size. Moreover, variations in beam size about the expected size can result in severe degradation of linewidth control. In this paper, we will examine the relationships between linewidth control, beam size, and proximity correction and show that it is possible, but difficult, to successfully use electron‐beam lithography under these conditions. High resolution vector scan electron‐beam systems with known Gaussian beam sizes are used to produce features down to 0.25 μm in single and multilayer resist. It is shown that the beam size and forward scattering must be included in the proximity correction of submicron patterns. The effects of varying the beam size are also investigated and it is shown, for example, that an increase in beam size of only 0.02 μm can significantly reduce the developed resist linewidth of sub‐half‐micron features in 1.0 μm thick resist.

Journal ArticleDOI
TL;DR: In this article, electron beam lithography was successfully used for the fabrication of DFB laser with the fine pitch required for first-order gratings, and the results demonstrate that electron beam-lithography can be successfully used to construct a DFB beamforming system.
Abstract: DFB ridge waveguide lasers at λ = 1.53 μm have been fabricated with uniform first-order gratings defined using electron beam lithography. After MOCVD overgrowth of the grating and fabrication of the ridge waveguides the devices have shown thresholds as low as 22 mA and operation in a single longitudinal mode to powers greater than 10 mW. These results demonstrate that electron beam lithography can be successfully used for the fabrication of DFB lasers with the fine pitch required for first-order gratings.

Journal ArticleDOI
TL;DR: In this article, the effects of photoelectrons and Auger electrons ejected from the substrate on the resist pattern profile and the minimum x-ray exposure dose (sensitivity) are investigated for various substrate materials.
Abstract: The effects of photoelectrons and Auger electrons ejected from the substrate on the resist pattern profile and the minimum x‐ray exposure dose (sensitivity) are investigated for various substrate materials. It is found that patterning controllability becomes more severe due to pattern undercutting, although the minimum x‐ray exposure dose for single‐layer resist on the Mo substrate is 32% smaller than that for the three‐layer resist. These effects are quantitatively estimated by the Grun range of photoelectrons in the resist and by the photoionization cross section of the substrate materials. These calcuated values coincide with the experimental results. By using the three‐layer resist system with a low photoionization cross section, accurate 0.5 μm very large‐scale integrated patterns are replicated on the Mo substrate with a large exposure dose margin of ±20%.

Proceedings ArticleDOI
25 Aug 1987
TL;DR: In this paper, an image reversal process is proposed for reliably producing resist stencils which are required for successful lift-off in a 2.0 μm metal pitch CMOS process, as well as for experimental submicron processing.
Abstract: Lift-off technology provides an alternate metal patterning technology to that of subtractive etching. In this raper, we describe an image reversal process which provides a practical means for reliably producing resist stencils which are required for successful lift-off in a 2.0 μm metal pitch CMOS process, as well as for experimental submicron processing. Experimental data and PROSIM simulations are presented to show the effects of patterning exposure dose, flood exposure dose, develop time, and focus parameters on resist linewidths as well as for control of resist retrograde (undercut) sidewall angles. Deposition and subsequent lift-off of Al/Cu alloys and sandwich metallizations is demonstrated. Because the image reversal process enables pattern definition at the top of the resist film, it is demonstrated that thicker resist films can be used to produce finer resolution of lift-off stencils over topography than would have been expected without resorting to multilayer resist structures.

Proceedings ArticleDOI
30 Jun 1987
TL;DR: In this article, an electrical tester is designed for measuring proximity effect in e-beam lithography, which consists of a clover-shaped van der Pauw resistor for sheet resistance measurement, a four-terminal resistor for electrical linewidth measurement, and a second four terminal resistor of identical width but with adjacent bars for evaluating changes due to proximity exposure.
Abstract: An electrical tester has been designed for measuring proximity effect in e-beam lithography. The tester consists of a clover-shaped van der Pauw resistor for sheet resistance measurement, a four-terminal resistor for linewidth measurement, and a second four-terminal resistor of identical width but with adjacent bars for evaluating changes due to proximity exposure. The test chip is composed of a set of testers with various combinations of linewidth, bar size, and intermediate space, ranging in dimension from 0.5 μm to 10 μm. Computer software has been developed to interface a commercial computer to the wafer prober for fully automated data acquisition, statistical analysis, and graphic display. The test system yields very high precision in both the sheet resistance (3 a < 1% of nominal) and electrical linewidth (3 a < 0.01 μm). The accuracy of the linewidth data has been verified by SEM measurements. The chip can serve as a general purpose metrology tool to evaluate the efficacy of different proximity correction techniques in e-beam lithography, to complement SEM linewidth measurements which suffer from profile and threshold dependence especially for non-vertical sidewalls, and to monitor linewidth control for submicron process development. Using an e-beam exposure tool at 20kV, the chip has been delineated in GMC, a negative imaging resist, in a trilevel resist structure, on substrates of tantalum silicide and aluminum. These substrates correspond to the GATE and the METAL level substrates in a MOS integrated circuit. In addition, it has been delineated in chromium, a typical photomask substrate, using single layer resist. The extent of proximity exposure effect on each of these substrates is reported. Linewidth deviations of 0.1 μm or greater are observed for near-micron equal line and space patterns. In addition, proximity exposure increases with incident exposure dose and the atomic number of the substrate. On the basis of these results, VLSI layout constraints arising from e-beam proximity exposure are identified.

Proceedings ArticleDOI
30 Jun 1987
TL;DR: In this paper, a rigid SiC-W-membrane and a stress compensated W-absorber system are used for X-ray stepper mask fabrication with a smooth surface and Young's modulus as high as the bulk value.
Abstract: This paper deals with the development of an X-ray stepper mask technology based on a rigid SiC.-membrane and a stress compensated W-absorber system. The SiC-mask blanks are being fabricated using batch processes like CVD-deposition and selective thin etching. As a result of extensive process optimization the polycristalline membranes can be fabricated with a smooth surface (< 40 nm) and a Young's modulus as high as the bulk value (4.6*10 11 N/m 2). Membranes of 2.7 μm in thickness are being prepared routinely with excellent transparency for synchrotron and optical radiation. For a high X-ray absorption and low thermal expansion sputter deposited tungsten has been applied. Ihe proposed stress compensating technique enables absorber stresses of less than 1*107 N/m , resulting in a mask distortion of < 100 nm. Precise sub-0.5-micron pattern with steep profiles have been generated by use of e-beam lithography and RIE techniques. High doses SOR experiments indicate an excellent long-term stability of SiC-W-masks.

Patent
10 Apr 1987
TL;DR: In this article, a semi-insulating GaAs substrate is coated as an electron beam resist film with a polymethylmethacrylate (PMMA) film, and heat treated with an organic alkaline developer for a positive type photoresist.
Abstract: PURPOSE: To eliminate a pattern distortion and a pattern position displacement by superposing an electron beam resist film and a thin conductive polymer film on a substrate, then sequentially exposing it to an electron beam, removing the film, and developing the resist film to form a pattern. CONSTITUTION: A semi-insulating GaAs substrate 1 is coated as an electron beam resist film 2 with a polymethylmethacrylate (PMMA) film, and prebaked. Then, a polystyrene ammonium sulfonate film is formed as a thin conductive polymer film 3, and heat treated. Then, a predetermined region is exposed with an electron beam. Further, the film 3 is removed with an organic alkaline developer for a positive type photoresist, the film 2 is eventually developed to form a pattern 4 on the PMMA film. Thus, this process can prevent a charging phenomenon without using a thin Si film to obtain an accurate resist pattern having no pattern distortion and no position displacement. COPYRIGHT: (C)1988,JPO&Japio

Journal ArticleDOI
TL;DR: In this article, first-order gratings for 1.5 μm-wavelength distributed-feedback laser have been fabricated reproducibly in InGaAsP by a combination of electron-beam lithography and wet-chemical etching.
Abstract: First-order gratings for 1.5 μm-wavelength distributed-feedback lasers have been fabricated reproducibly in InGaAsP by a combination of electron-beam lithography and wet-chemical etching. A high-voltage electron beam (60 kV) of small diameter (50 nm) is employed in the exposure step.