scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 1993"


Journal ArticleDOI
TL;DR: In this paper, a 65 nm thick layer of polymethylmethacrylate (PMMA) resist was exposed with an 80 kV electron beam of diameter smaller than 5 nm, and the resist was developed in 3:7 cellosolve:methanol with ultrasonic agitation.
Abstract: The present limit of around 10 nm for the width of lines fabricated by e‐beam lithography using polymethylmethacrylate (PMMA) resist on silicon substrates has been overcome. 5–7 nm wide etched lines in bulk Si substrates have been produced. A 65 nm thick layer of PMMA was exposed with an 80 kV electron beam of diameter smaller than 5 nm. After exposure the resist was developed in 3:7 cellosolve:methanol with ultrasonic agitation. The pattern in resist was transferred to the Si substrate with reactive ion etching. Lines of width varying between 5 and 7 nm were recorded using an S‐900 scanning electron microscope which has a resolution of 0.7 nm.

270 citations


Journal ArticleDOI
19 Mar 1993-Langmuir
TL;DR: In this article, the tip of a scanning tunneling microscope was used to fabricate geometrically well structures within organized, self-assembled monolayer resist that have critical dimensions ranging from 60 nm to 5 micrometers.
Abstract: : The tip of a scanning tunneling microscope was used to fabricate geometrically well structures within organized, self-assembled monolayer resist that have critical dimensions ranging from 60 nm to 5 micrometers. To achieve nanometer-scale lithography, a Au(111) substrate was coated with a self- assembled monolayer of HS(CH2)17CH3, which functions as an ultrathin (approx. 2. 5 nm) resist, and then the resist was etched by an STM tip. This treatment results in window-like features that penetrate the organic monolayer. Nanolithographically defined features have been characterized by scanning tunneling microscopy, scanning electron microscopy, and electrochemical methods. For example, since mass and electron transfer to the conductive Au substrate are blocked by the monolayer everywhere except in the STM-etched regions, the windows serve as ultramicroelectrodes. The limiting current that results from radial diffusion of a bulk-phase redox species to the etched window is in close agreement with that predicted by theory.

147 citations


Journal ArticleDOI
TL;DR: In this article, self-assembled monolayers of n−octadecanethiol [ODT; CH3(CH2)17SH] on GaAs and n-octadecyltrichlorosilane [OTS] on SiO2 act as self-developing positive electron beam resists with electron-beam sensitivities of ∼100−200 μC/cm2.
Abstract: It was demonstrated that self‐assembled monolayers of n‐octadecanethiol [ODT; CH3(CH2)17SH] on GaAs and n‐octadecyltrichlorosilane [OTS; CH3(CH2)17SiCl3] on SiO2 act as self‐developing positive electron beam resists with electron‐beam sensitivities of ∼100–200 μC/cm2. For the OTS monolayer on a silicon native oxide, atomic force microscopy (AFM) images of the exposed layer before etching demonstrate the removal of all or part of the layer upon electron‐beam exposure. Features as small as 25 nm were resolvable in a 50 nm period grating. A resist contrast curve for OTS was obtained from AFM depth measurements as a function of dose. An ammonium hydroxide water etch was used to transfer patterns into the GaAs to a depth of at least 30 nm and buffered HF was used for pattern transfer into the SiO2 to a depth of at least 50 nm.

109 citations


Journal ArticleDOI
TL;DR: In this paper, a 3:7 cellosolve:methanol mixture was used for lift-off and etching of poly(methylmethacrylate) resist on solid semiconductor substrates.
Abstract: Sub‐10 nm structures were fabricated by lift‐off and by etching following electron‐beam exposure of poly(methylmethacrylate) (PMMA) resist on solid semiconductor substrates. Electron beam lithography at 80 kV with a beam diameter smaller than 5 nm was used to expose PMMA resist on either Si or GaAs substrates. The exposed resist was developed with a 3:7 cellosolve:methanol mixture in an ultrasonic bath for 5 s followed by rinsing in IPA and blown dry with pure nitrogen. Ultrasonic agitation during development was found to be essential for forming sub‐10 nm structures in PMMA. The patterned PMMA resist was used either as a lift‐off mask or an etching mask and successful transfer of the pattern to the substrates was achieved. For lift‐off an ionized beam deposition method, which gives smaller grain size and better adhesion of the metal film to the substrate, was used to deposit a layer of AuPd. Metal dots with sub‐10 nm diam and metal structures with sub‐10 nm gaps were fabricated. For sub‐10 nm etched structures reactive ion etching was used to transfer either the PMMA pattern or the lift‐off metal pattern to either Si or GaAs substrates. Etched lines and pillars with dimensions smaller than 10 nm were obtained.

95 citations


Journal ArticleDOI
TL;DR: In this article, a modified scanning electron microscope operated at 35 keV and liftoff of Ni/Au was used to achieve a standard deviation (3σ) of an overlay accuracy (30 deviation) of 50 nm.
Abstract: Gratings of 10 nm wide metal lines 30 nm apart, and quantum transistor gates with 10 nm wide gaps over 300 nm long between two metal rectangles have been repeatedly achieved on thick GaAs substrates using a modified scanning electron microscope operated at 35 keV and liftoff of Ni/Au. Furthermore, multilevel electron beam lithography with a standard deviation (3σ) of an overlay accuracy (30 deviation) of 50 nm has been achieved using the same modified scanning electron microscope.

94 citations


Journal ArticleDOI
TL;DR: In this paper, the nanofabrication of two-dimensional photonic lattice structures in GaAs/AlGaAs was reported, which comprises a hexagonal array of air cylinders etched into a semiconductor surface with a refractive index contrast of 3.54.
Abstract: The nanofabrication of two‐dimensional photonic lattice structures in GaAs/AlGaAs is reported. The nanofabrication procedure combines direct‐write electron‐beam lithography and reactive‐ion‐beam etching to achieve etched features as small as 50 nm. The lattice comprises a hexagonal array of air cylinders etched into a semiconductor surface with a refractive index contrast of 3.54. A range of air volume fractions from 14% to 84% was investigated. The lithographic, masking, and etching processes necessary to fabricate the lattice are described along with practical limitations to achieving a lattice of arbitrary air volume fraction. Initial results from optical characterization of the lattice are also presented.

90 citations


Journal ArticleDOI
TL;DR: In this paper, the authors investigate the origin of the edge roughness of a chemical amplification resist in connection with acid diffusion during the post-exposure bake process and show that the polymer structures of the base resins cause nano-edge roughness, which cannot be neglected in nanofabrication.
Abstract: Ultrasmall edge roughness in delineated patterns (nano edge roughness) is investigated in nanostructures made of negative‐type electron beam polymer resists by atomic force microscope measurements. Very narrow isolated lines 10–20 nm wide are fabricated with a finely focused electron beam provided by a scanning electron microscope. A chemical amplification novolak resin‐based resist shows nano edge roughness which cannot be neglected in nanofabrication. To investigate the origin of the roughness, conventional two‐component resist systems are microscopically compared. An azide polyvinylphenol‐based resist and an azide novolak resin‐based resist are used. The novolak resin‐based resist exhibits a rougher surface than the polyvinylphenol‐based one. This result suggests that the polymer structures of the base resins cause nano edge roughness of a chemical amplification resist in connection with the acid diffusion during the post‐exposure bake process.

87 citations


Journal ArticleDOI
TL;DR: In this paper, a fine micrograting prepared by electron beam lithography is used as a model grating, and a scanning exposure ofthe primary electron beam in a scanning electron microscope (SEM) as a master grating.
Abstract: Microcreep deformations in pure copper specimens are studied by a new moire method. In this method, a fine micrograting prepared by electron beam lithography is used as a model grating, and a scanning exposure ofthe primary electron beam in a scanning electron microscope (SEM) as a master grating. The scanning exposure of the electron beam on the specimen with the model grating produces moire fringes of bright and dark lines formed in response to the different amounts of the emitted secondary electrons for each primary electron. This new method makes it possible to obtain a clear and fine moire fringe without an image-processing system and to observe the moire fringe pattern and the SEM image at the same time. By this method, the inhomogeneous microcreep deformations such as grain boundary sliding, coarse slip, and localized strain are measured with high accuracy. It is confirmed that the creep strain is nonuniform even in the same grain and the strain distribution is caused mainly by the grain boundary sliding.

80 citations


Journal ArticleDOI
TL;DR: A new class of water soluble conducting polyanilines has been developed by oxidatively polymerizing aniline monomers on a template such as a polymeric acid as discussed by the authors, which can be applied as removable discharge layers for electron-beam lithography and for mask inspection by scanning electron microscopy.
Abstract: A new class of water soluble conducting polyanilines has been developed This is accomplished by oxidatively polymerizing aniline monomers on a template such as a polymeric acid The resulting polyanilines readily dissolve in water These materials can be applied as removable discharge layers for electron‐beam lithography and for mask inspection by scanning electron microscopy They can be spin‐applied directly on top of resists without any interfacial problems Image distortion as a result of charging during resist exposure is not observed with these materials After exposure the polyaniline is readily and cleanly removed during the resist develop By incorporating cross‐linkable functionality on the polyaniline backbone, water soluble polyanilines that are radiation curable are attained Upon irradiation these materials cross‐link and become insoluble and thus can be utilized as permanent conducting coatings for electrostatic discharge applications In addition, the cross‐linkable polyanilines can be us

80 citations


Journal ArticleDOI
TL;DR: The use of focused ion beams for fine pattern writing was examined in this paper, where it was shown that the ability to write original patterns at 0.1 µm and below is a serious candidate for future fine pattern rewriting.
Abstract: Lithography for microelectronics, that is, the exposure and development of resist, is already being carried out in research laboratories at dimensions well below 0.1 μm. In production the minimum dimensions are likely to approach 0.1 μm before the end of the decade. This review will examine the use of focused ion beams for ultrafine lithography. Minimum dimensions down to 0.015 μm have been reported as well as exposure of 0.25 μm thick resist with o.05 μm linewidth for the making of X-ray lithography masks. At this time there are only two techniques for writing original patterns (as opposed to replicating them) at 0.1 μm and below; electron beams and ion beams. Electron beams are at a mature state of development and have advantages in absence of shot noise and in fast deflection capability. Ion beams on the other hand have demonstrated absence of proximity effect and high resist sensitivity, i.e. potentially faster writing speed. The development of the gas field ion source promises hundredfold increase in current density of light ions (H2+, He …) in the beam focal spot. In addition, these light ion beams at high energy can be deflected at the speeds needed for lithography. Thus focused ion beam lithography is a serious candidate for future fine pattern writing.

72 citations


Patent
14 Oct 1993
TL;DR: In this paper, an electron-beam lithography apparatus and method, including an electron source with a mask or photocathode for generating a patterned electron beam; an electron sensitive resist layer; a conductive plate with a slit, located between the electron source and the resist layer, with the patterning electron beam passing only through the slit.
Abstract: An electron-beam lithography apparatus and method, including an electron source with a mask or photocathode for generating a patterned electron beam; an electron-sensitive resist layer; a conductive plate with a slit, located between the electron source and the resist layer, with the patterned electron beam passing only through the slit; an electric field between the electron source and the conductive plate to accelerate electrons, with the conductive plate causing the electric field between the plate and the resist to be substantially zero; a magnetic field between the electron source and the resist, to focus electrons on the resist; and alignment device for synchronously scanning the mask or photocathode and the resist at the same velocity relative to the slit and to the electron source, and at zero velocity relative to each other, so that substantially all of the pattern of the patterned electron beam is imaged on the resist without substantial change in size.

Journal ArticleDOI
TL;DR: Using a very fine electron beam in a dedicated field emission scanning electron microscope produces nanometer size deposits which extend from surfaces to heights in the micrometer range as mentioned in this paper, and these nanostructures have very special characteristics with respect to resistivity and shape.
Abstract: Two‐ and three‐dimensional patterns and structures can be grown by electron‐beam induced deposition from organic and metalorganic precursors. Using a very fine electron beam in a dedicated field emission scanning electron microscope produces nanometer size deposits which extend from surfaces to heights in the micrometer range. The material is fed to the sample through a nozzle which presents a small leakage flux to the specimen chamber. Having an image processor attached to the microscope allows two‐ and three‐dimensional deposition of material to be controlled. Selecting special speed rates for the motion of the beam generates inclined deposits even at a 90° beam landing angle. Combining a tilted sample and the two‐dimensional way of structuring yield three‐dimensional structures. These nanostructures have very special characteristics with respect to resistivity and shape. Selecting dimethyl‐ gold‐trifluoro‐acetylacetonate as precursor, a current of 1 nA, and a low electron energy of 10 keV for the depos...

Journal ArticleDOI
TL;DR: In this paper, superconducting nanobridges of YBa2Cu3O7 thin films with widths down to w=200 nm and lengths from 0.2 to 10 μm are patterned using metal masks fabricated by electron-beam lithography and reactive ion etching.
Abstract: Superconducting nanobridges of YBa2Cu3O7 thin films with widths down to w=200 nm and lengths from 0.2 to 10 μm are patterned using metal masks fabricated by electron‐beam lithography and reactive ion etching. The YBa2Cu3O7 films were dry etched by ion beam milling at minimal energies of 250 eV on a liquid‐nitrogen‐cooled sample holder. Long bridges (l=10 μm) showed superconducting features similar to those of unstructured YBa2Cu3O7 films. Short nanobridges exhibited phase‐slip center behavior up to the transition temperature of 87–89 K. Shapiro steps were observed in the current‐voltage characteristics in applied microwave fields and the critical current decreased linearly with the amplitude of the microwave field.

Journal ArticleDOI
TL;DR: An efficient CAD pattern processing algorithm based on dose modulation technique is proposed for proximity effect correction calculation in electron beam (EB) lithography, which is indispensable to the accurate proximity correction for next-generation high-density VLSIs.
Abstract: An efficient CAD pattern processing algorithm based on dose modulation technique is proposed for proximity effect correction calculation in electron beam (EB) lithography. The algorithm resolves a costly and lengthy computation during the pattern processing. First, the original pattern data with multiple-level cell hierarchy is reduced to pattern data with two-level cell hierarchy. Then, a zoning algorithm with dual-frame technique is introduced for realizing the hierarchical proximity correction calculation. Here, the zone is a region of pattern assembly to be proximity-corrected and the frame is a pattern reference region to incorporate the backscattering effects of electrons exposed on the frame into the zone data. The algorithm is applied to typical design layers of 64-Mb DRAM pattern data. The final EB data volume is greatly compacted by a factor of 22-150 compared with a conventional hierarchy-flattened method. The hierarchical approach is indispensable to the accurate proximity correction for next-generation high-density VLSIs. >

Journal Article
01 Jan 1993-Optik
TL;DR: In this paper, an X-ray microscopy study of hydrated biological and colloidal chemical systems was performed with an Xray microscope installed at the BESSY electron storage ring in Berlin.

Journal ArticleDOI
TL;DR: In this article, a novel magnetic force microscope tip has been proposed and fabricated that consists of a ∼30 nm thick ferromagnetic film coated on one side of a nonmagnetic pillar which is ∼150 nm wide and over 1.5 μm long.
Abstract: A novel magnetic force microscope tip has been proposed and fabricated that consists of a ∼30 nm thick ferromagnetic film coated on one side of a nonmagnetic pillar which is ∼150 nm wide and over 1.5 μm long. The pillar was fabricated on the apex of a commercial scanning force microscope tip using high‐resolution electron beam lithography. The ferromagnetic film was evaporated on the pillar from an angle so that only the pillar, not the rest of the tip, was coated. The coated ferromagnetic film has a trough shape and a tapered end with a tip radius of ∼10 nm. The film is single domain because of the nanoscale size and shape anisotropy. Compared to conventional Ni wire tips, the new tips have a much smaller, magnetic cross section at the end of the tip, thus offering better imaging resolution and they have lower stray field, thus making them well suited to measuring soft magnetic materials.

Journal ArticleDOI
TL;DR: In this article, the magnetic field with superconducting perforated films prepared by electron beam lithography was studied and the displacement of the peaks in critical current (I c ) from integral multiples of the matching field was observed.

Journal ArticleDOI
TL;DR: In this paper, the fabrication of sub-50 nm Si pillars, ridges, and trenches with aspect ratios greater than 10 using ultrahigh resolution electron beam lithography and chlorine based reactive ion etching was presented.
Abstract: We present the fabrication of sub‐50 nm Si pillars, ridges, and trenches with aspect ratios greater than 10 using ultrahigh resolution electron beam lithography and chlorine based reactive ion etching. These nanoscale Si features can be further reduced to 10 nm using an additional HF wet etch. No photoluminescence was observed from arrays of 10 nm Si structures passivated with HF.

Journal ArticleDOI
TL;DR: In this article, the fabrication and preliminary photoluminescence (PL) study of free standing Si pillars with diameters of about 10 nm and aspect ratios greater than 15.
Abstract: This article reports the fabrication and preliminary photoluminescence (PL) study of free‐standing Si pillars with diameters of about 10 nm and aspect ratios greater than 15. The pillars were fabricated using electron‐beam lithography, chlorine based reactive ion etching (RIE), and subsequent HF wet etching. Using HF etching offers several advantages: (a) it is a relatively stress independent process and therefore preserves the original shape of the structure; (b) it is a room temperature process; (c) it has a very controllable etch rate, ∼1.9 nm/h; and (d) it can remove RIE damage and passivate the Si surface. PL with a peak at 720 nm was repeatedly observed from an array of nanoscale pillars with ∼20 nm diameters. However, the cause of such PL is still unclear.

Journal ArticleDOI
TL;DR: A fast three-dimensional volume removal algorithm for resist dissolution is presented and verified with applications to optical lithography with phase-shift masks, resist silylation, and electron-beam lithography.
Abstract: A fast three-dimensional volume removal algorithm for resist dissolution is presented and verified with applications to optical lithography with phase-shift masks, resist silylation, and electron-beam lithography. Memory requirements are reduced by dynamically allocating complete topography and material information only at surface cells, and setting other cells as either bulk material or developer. The dissolution algorithm uses a fixed time step and stores the volume of material remaining in the surface cells. A simple redistribution scheme is used if more volume would be removed from a cell in one time step that is currently present. The compactness and speed of the algorithm make it suitable for use on engineering workstations. Simulations requiring 100*100*100 cells can be performed in a few minutes. Theoretical defocus effects in phase-shift mask lithography and shot-size error in electron-beam lithography are compared with experiment. A dry-etch resist silylation process is also investigated. >

Journal ArticleDOI
TL;DR: In this paper, a 2.0 μm wide channel was etched through ∼ 10 μm of PMMA (polymethyl methacrylate) using a focused 3 MeV proton beam.
Abstract: X-ray lithography mask contrast is limited by the thickness of the patterned absorber layer. This is limited by the need to use thin resist layers to maintain the high spatial resolution when fabricated using electron beam lithography (EBL) because electron scattering severely limits the minimum achievable linewidth in thick samples. A MeV proton beam suffers much less lateral scattering than a keV electron beam so higher resolutions should be possible in thick resist layers. This paper presents experimental results showing a 2.0 μm wide channel etched through ∼ 10 μm of PMMA (polymethyl methacrylate) using a focused 3 MeV proton beam. Simulations demonstrating that the minimum attainable linewidths for 3 MeV protons are ∼ 120 nm through 10 μm of PMMA are also presented.

Journal ArticleDOI
TL;DR: In this article, a scanning tunneling microscope (STM) was used to locally expose Langmuir-Blodgett layers of a negative electron beam resist (ω-tricosenoic acid) on top of a thin gold film.
Abstract: A scanning tunneling microscope (STM) has been used to locally expose Langmuir–Blodgett layers of a negative electron beam resist (ω‐tricosenoic acid) on top of a thin gold film. The STM operates in a dry nitrogen atmosphere at a voltage difference of about −10 V between the electrochemically etched Pt‐Ir tip and the gold surface. After development in ethanol, the unexposed areas of the gold film are removed by argon ion milling. Gold wires with a linewidth down to 15 nm have been prepared. Electrical transport measurements confirm the homogeneity and the one‐dimensional metallic character at low temperatures of the gold structures.


Journal ArticleDOI
TL;DR: In this article, the luminescence and electro-optic properties of buried 25-35 nm quantum boxes have been measured using a combination of molecular beam epitaxial growth and regrowth, electron beam lithography, and dry etching.
Abstract: The luminescence and electro‐optic properties of buried 25–35 nm quantum boxes have been measured. The quantum boxes were defined by a combination of molecular beam epitaxial growth and regrowth, electron beam lithography, and dry etching. The photoluminescence from 35 nm boxes shows a blue shift of ∼15 meV compared to the bulk luminescence and an enhancement, taking into account the fill factor. An enhanced effective linear electro‐optic coefficient, rl, is observed for the quantum boxes.

Journal ArticleDOI
TL;DR: In this article, a grid with long-range spatial phase coherence is created on a substrate (or on top of its resist coating) and this grid is used to feedback information on beam location to the control system.
Abstract: Earlier spatial‐phase‐locked e‐beam lithography (SPLEBL) was proposed as a means of eliminating the well‐known problem of feature placement precision in scanning electron‐beam lithography. In SPLEBL, a grid with long‐range spatial‐phase coherence is created on a substrate (or on top of its resist coating) and this grid is used to feedback information on beam location to the control system. In initial tests a standard deviation (σ) of 0.3 nm for phase‐locking precision in one dimension was demonstrated, which represents the finest field stitching ever obtained with any lithographic method. In two dimensions (2D), σx, σy=0.6, 0.4 nm was obtained. Moire spatial‐phase locking was also demonstrated in 2D. Two strategies for the global‐fiducial grid appear feasible: plating base modulation and a thin film of holographically exposed photoresist on thin‐film Al above the e‐beam resist. Either would permit spatial‐phase locking without exposure of resist.

Proceedings ArticleDOI
08 Aug 1993
TL;DR: In this article, a method for patterning sub-micrometer gates with T-shaped cross-sections was proposed, which may be applied to manufacture high performance field effect transistors (FETs).
Abstract: We have developed a method for patterning sub-micrometer gates with T-shaped cross sections, which may be applied to manufacture high performance field effect transistors (FETs). The technique employs two exposures at the KrF excimer laser wavelength (248 nm). The first exposure uses a phase-shifting mask to pattern 0.1 micrometers isolated spaces. The resist used for the second exposure absorbs the 248 nm radiation strongly enough to produce a profile suitable for lift-off patterning.

Journal ArticleDOI
TL;DR: An e-beam lithographic technique is described that produces multiple discrete phase levels as a surface-relief structure directly in positive e- beam resist to produce sawtooth (blazed) gratings and multiphase-level numeric-type computer-generated holograms.
Abstract: An e-beam lithographic technique is described that produces multiple discrete phase levels as a surface-relief structure directly in positive e-beam resist. Processing techniques are presented for two positive e-beam resists: EBR-9 and polymethyl methacrylate (PMMA). This fabrication technology is experimentally applied to produce sawtooth (blazed) gratings and multiphase-level numeric-type computer-generated holograms (i.e., kinoforms).

Journal ArticleDOI
TL;DR: In this paper, the physical processes limiting resolution and causing proximity effects in electron-beam lithography are outlined, with the major emphasis on sub-250 nm lithography, where a reduction of proximity effects and the enhancement of resolution can be achieved with a thin intermediate layer (such as 50-300 nm of silicon nitride or silicon dioxide) between the resist and the substrate.
Abstract: The physical processes limiting resolution and causing proximity effects in electron‐beam lithography (EBL) are outlined, with the major emphasis on sub‐250 nm lithography. A reduction of proximity effects and the enhancement of resolution in the resist can be achieved with a thin intermediate layer (such as 50–300 nm of silicon nitride or silicon dioxide) between the resist and the substrate. This has been observed on a number of substrates (Si, GaAs, W, and InSb) and with two high‐resolution e‐beam resists. Monte Carlo simulations and experimental results show that secondary electrons play a key role in the understanding of this phenomenon. Furthermore, a large number of fast secondaries (500 eV–3 keV), emitted from substrate–resist interface, enter the resist within a ∼100 nm radius of the primary beam. The thin intermediate layers reduce the number of secondary electrons entering the resist. In contrast, the elimination of proximity effects was observed with very low energy electron beams (≤100 eV) as...

Journal ArticleDOI
TL;DR: In this paper, the optical properties of nanostructured wires fabricated by using electron beam lithography and reactive ion etching in SiCl4 from a modulation doped p+-Si/Si1-xGex heterojunction structure were investigated.

Journal ArticleDOI
TL;DR: In this paper, the authors discussed the operation of InGaAs/AlGaAs grating-coupled surface-emitting lasers with threshold-current densities as low as 118 A/cm/sup 2, to the best of their knowledge the lowest reported for a surface emitter.
Abstract: The authors discuss the operation of InGaAs/AlGaAs grating-coupled surface-emitting lasers with threshold-current densities as low as 118 A/cm/sup 2/, to the best of our knowledge the lowest reported for a surface emitter. The low threshold-current density is the result of high reflectivity and low absorption gratings in conjunction with a lateral effective refractive index step in the gain section. The gratings were fabricated using electron-beam lithography and chemically assisted ion-beam etching, producing uniform rectangular gratings. A thin etch-stop layer incorporated in the epitaxial structure made it possible to combine precise control of the grating position with a strained-layer SQW-GRINSCH structure for optimum low-threshold currents. >