scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 1997"


Journal ArticleDOI
TL;DR: In this article, it was observed that the gold particle chain bridged a gap between the source and drain forming a single electron transistor with a multi-tunnel junction in the particle chain.
Abstract: By applying a dithiol (1,6-hexanedithiol) treatment, it was observed that a submonolayer of gold colloidal particles deposited by using an aminosilane adhesion agent [i.e., 3-(2-aminoethylamino) propyltrimethoxysilane] transform themselves into chains consisting of a few gold colloidal particles. In those chains, gold colloidal particles are believed to be linked by alkane chains derived from the dithiol molecules. The particle chain was formed on a SiO2 substrate with source, drain, and gate metal electrodes defined by electron beam lithography. It was observed that the gold particle chain bridged a gap between the source and drain forming a single electron transistor with a multi-tunnel junction in the particle chain. The electron conduction through the chain exhibited a clear Coulomb staircase and the periodic conductance oscillation as a function of gate voltage. These measurement results corresponded closely to the results of a simulation based on the orthodox theory.

274 citations


Journal ArticleDOI
TL;DR: In this paper, a new ray picture model based on the multiple interference of light waves in dielectric resonant grating-waveguide structures is presented, which clearly elucidates the phase relationship between the incident plane wave and the waves diffracted from the grating structure that is responsible for the interference of these waves.
Abstract: A new ray picture model based on the multiple interference of light waves in dielectric resonant grating–waveguide structures is presented. The model clearly elucidates the phase relationship between the incident plane wave and the waves diffracted from the resonant grating structure that is responsible for the interference of these waves. As a result of this interference process the incident wave can be totally reflected at a certain wavelength and orientation angle. The model is used to describe and analyze this resonance behavior of the grating–waveguide structures as a function of wavelength and incidence angle. The analysis is verified experimentally with semiconductor (InGaAsP/InP) structures at wavelengths of 1.55 μm and also with dielectric (silicon nitride/SiO2) structures at wavelengths of 0.6 μm. All of the structures were formed by electron beam lithography and chemical vapor deposition. The measured results reveal that subnanometer resonance bandwidths and finesses as large as 6000 can be achieved at contrast ratios of 50 with relatively compact structures.

183 citations


Journal ArticleDOI
TL;DR: In this paper, the quantum mechanical effects in silicon single-electron transistors have been investigated using electron beam lithography and the anisotropic etching technique on silicon-on-insulator substrates.
Abstract: The quantum mechanical effects in silicon single-electron transistors have been investigated. The devices have been fabricated in the form of point contact metal–oxide–semiconductor field-effect transistors with various channel widths using electron beam lithography and the anisotropic etching technique on silicon-on-insulator substrates. The device with an extremely narrow channel shows Coulomb blockade oscillations at room temperature. At low temperatures, negative differential conductances and fine structures are superposed on the device characteristics, which are attributed to the quantum mechanical effects in the silicon quantum dot in the channel. The energy spectrum of the dot is extracted from the experimental results.

178 citations


Journal ArticleDOI
TL;DR: In this article, the authors used Lorentz microscopy to study the magnetic properties of Co and Ni80Fe20 acyclic elements with nanometric dimensions, and found that the shape of the ends of the elements was a strong determinant of their magnetic properties.
Abstract: Switching fields of magnetic elements with nanometric dimensions have been investigated by Lorentz microscopy using a transmission electron microscope. Acicular elements of Co and Ni80Fe20 were fabricated by electron beam lithography and lift-off techniques. They were 1.6–3.5 μm long, 200 nm wide, and 20–50 nm thick, with flat rectangular ends or triangular pointed ends, and were patterned in linear arrays with center-to-center spacing ranging from 7 μm to 250 nm. Switching fields and reversal behavior of the elements were found to depend strongly on the shape of the ends and, in a closely packed array, on element separation, thereby providing a way of controlling their magnetic properties.

170 citations


Journal ArticleDOI
TL;DR: In this article, the optimization of processes for producing sub-20 nm soft x-ray zone plates, using a general purpose electron beam lithography system and commercial resist technologies, was reported.
Abstract: We report here the optimization of processes for producing sub-20 nm soft x-ray zone plates, using a general purpose electron beam lithography system and commercial resist technologies. We have critically evaluated the failure point of the various process steps and where possible chosen alternate methods, materials, or otherwise modified the process. Advances have been made in most steps of the process, including the imaging resist, pattern conversion for electron beam exposure, and pattern transfer. Two phase shifting absorber materials, germanium and nickel, were compared. Zone plates with 30 nm outer zones have been fabricated in both germanium and nickel with excellent quality using polymethyl methyl accrylate and zones as small as 20 nm have been fabricated in nickel using the calixarene resist. The total efficiency as well as the efficiency of different regions of the zone plates were measured. All zone plates have demonstrated good efficiencies, with nickel zone plates performing better than german...

132 citations


Journal ArticleDOI
TL;DR: In this article, supported metal nanoparticles have been fabricated using electron beam lithography (EBL) and pulsed laser deposition (PLD) and ordered two-dimensional arrays with particle diameters of 40 cm.
Abstract: Supported metal nanoparticles have been fabricated using electron beam lithography (EBL) and pulsed laser deposition (PLD). EBL produced ordered two-dimensional arrays with particle diameters of 40...

103 citations


Journal ArticleDOI
TL;DR: The scattering with angular limitation projection electron-beam lithography (SCALPEL) approach combines the high resolution and wide process latitude inherent in electron beam lithography with the throughput of a parallel projection system as mentioned in this paper.
Abstract: There are several candidate lithography technologies for the postoptical era early in the next century. The scattering with angular limitation projection electron-beam lithography (SCALPEL) approach combines the high resolution and wide process latitude inherent in electron beam lithography with the throughput of a parallel projection system. In the SCALPEL system, a mask consisting of a low atomic number membrane and a high atomic number pattern layer is uniformly illuminated with high energy (100 keV) electrons. The entire mask structure is essentially transparent to the electron beam so very little of the beam energy is deposited in it. The portions of the beam which pass through the high atomic number pattern layer are scattered through angles of a few milliradians. An aperture in the back focal plane of the electron projection imaging lenses stops the scattered electrons and produces a high contrast image at the plane of the semiconductor wafer. This article describes how a lithography system based o...

101 citations


Journal ArticleDOI
TL;DR: In this article, the authors investigated the full potential of positive resist for high-resolution pattern definition and showed that although the point spread exposure distribution is similar to that for positive PMMA, features of the order of 10 nm are easily achieved.
Abstract: Poly(methylmethacrylate) (PMMA) which is commonly used as a positive resist can also be used in a negative manner with exposure at higher dose levels. In this paper we investigate the full potential of this resist for high-resolution pattern definition. We show that although the point spread exposure distribution is similar to that for positive PMMA, features of the order of 10 nm are easily achieved. These resist structures can be transferred into the underlying materials using plasma etch techniques to a similar degree of resolution. The density of resist features is also greater than for positive PMMA with features of nm in width being possible on a 30 nm pitch. The instability of the resist structures at extreme linewidths has been identified as a potential problem in utilizing the process.

89 citations


Journal ArticleDOI
TL;DR: In this article, the minimum beam energy that can achieve high aspect ratio structures (4:1) in single layer resists in a manufacturing environment is suggested as an optimum beam energy.
Abstract: General requirements for the use of electron beam lithography in direct write manufacturing of silicon integrated circuits are discussed. 50 keV is suggested as an optimum beam energy, since this is the minimum beam energy that can achieve high aspect ratio structures (4:1) in single layer resists in a manufacturing environment. Higher beam energies result in an inefficient exposure process requiring larger currents; this combination will lead to excessive resist and wafer heating. Lower voltages will require the use of top surface imaging or multilayer resists, which have concerns of processing complexity, resist charging, and defects. At 50 keV, some form of proximity correction is required to achieve reasonable control of critical dimensions. While one of the principle arguments for low voltage lithography is that it avoids the need for proximity correction, proximity correction is a solvable problem for large chips and is therefore a less risky approach than developing a reliable surface imaging resis...

76 citations


Journal ArticleDOI
01 Apr 1997
TL;DR: In this article, the authors describe the use of proximal probes, such as the atomic force microscope (AFM) and the scanning tunneling microscope (STM), for nanofabrication.
Abstract: In this paper, we describe the use of proximal probes, such as the atomic force microscope (AFM) and the scanning tunneling microscope (STM), for nanofabrication. A resistless proximal probe-based lithographic technique has been developed that uses the local electric field of an STM or conductive AFM tip that is operated in air to selectively oxidize regions of a sample surface. The resulting oxide, typically 1-10 nm thick, can be used either as a mask for selective etching or to directly modify device properties by patterning insulating oxides on thin conducting layers. In addition to this resistless approach, we also describe the use of the STM/AFM to modify the chemical functionality of self-assembling monolayer films. Such modified films are used as a template for the selective electroless plating of metal films. The above processes are fast simple to perform, and well suited for device fabrication. We apply the anodic oxidation process to the fabrication of both semiconductor and metal-oxide devices. In these latter structures, sub-10 nm-sized device features are easily achieved, and we describe the fabrication of the smallest possible device, a single, atomic-sized metallic point contact by using in situ-controlled AFM oxidation.

72 citations


Journal ArticleDOI
TL;DR: In this paper, the authors present the realisation of a 2D photonic bandgap in gallium arsenide, with a holographic lithography technique, using the holographic recording of only three plane waves in a photoresist.
Abstract: The authors present the realisation of a 2D photonic bandgap in gallium arsenide, with a holographic lithography technique. The photonic crystal consists of circular etched air holes on a triangular lattice, and has been obtained with the holographic recording of only three plane waves in a photoresist. The quality of the final structure shows that holographic lithography, which is a low cost method compared with electron beam lithography, has high potential for photonic microstructure fabrication.

Journal ArticleDOI
TL;DR: In this paper, the potential of high energy ion microbeams for carrying out similar micromachining, and in particular for overcoming the geometrical restrictions which are inherent in deep x-ray lithography, is investigated.
Abstract: In recent years the process combining deep X-ray lithography with electroforming and micromoulding (i.e. LIGA), has become an important technique for the production of high aspect-ratio microstructures for the fabrication of micro-electromechanical systems (MEMS). The aim of this paper is to investigate the potential of high energy ion microbeams for carrying out similar micromachining, and in particular for overcoming the geometrical restrictions which are inherent in deep x-ray lithography. Using a scanned 2.0 MeV proton beam of approximately 1 micron diameter, we produced latent microstructures in high molecular weight PMMA resist. These resist microstructures were subsequently developed using a multi-component developer which is highly specific in the removal of exposed resist, while leaving unexposed or marginally exposed material unaffected. A suitable range of exposures has been established, and factors affecting the geometrical fidelity of the produced microstructure have been investigated. The relative advantages and limitations of this technique vis a vis deep X-ray lithography are discussed.

Journal ArticleDOI
TL;DR: Magnetic tunnel junctions consisting of permalloy and cobalt thin film electrodes, separated by a thin aluminum oxide tunnel barrier, have been fabricated by e-beam lithography at dimensions down to 120 nanometers as mentioned in this paper.

Book ChapterDOI
01 Jan 1997

Journal ArticleDOI
TL;DR: In this article, the advantage of the high etch selectivity between metals in a wet etching process to develop an optimized technique for engineering magnetic materials was used for fabricating mesoscopic Ni80Fe20 dots and wires with lateral dimensions down to 0.2 μm.
Abstract: We have used the advantage of the high etch selectivity between metals in a wet etching process to develop an optimized technique for engineering magnetic materials. This method is based on electron beam lithography and optimized pattern transfer by a combination of dry and wet etching. The technique has been used in fabricating mesoscopic Ni80Fe20 dots and wires with lateral dimensions down to 0.2 μm. We have used scanning electron microscopy to verify the lateral sizes and edge acuity of the structures. The magnetic properties were characterized using magneto-optic Kerr effect and magnetoresistance measurements. A marked increase in the coercive field and the saturation field is seen as the width of the wire is decreased. The magnetoresistance change (∂R) is found to increase significantly as the width of the wire is decreased.

Journal ArticleDOI
S. Matsui1
01 Apr 1997
TL;DR: In this article, the self-developing properties of an AlF/sub 3/doped LiF inorganic resist have been studied for sub-10-nm lithography.
Abstract: Nanofabrication developed by using electron beam (EB) are described. Ten-nm structures of organic positive and negative resist patterns have been achieved by using a commercially available EB lithography system with energy of 30-50 keV. The self-developing properties of an AlF/sub 3/-doped LiF inorganic resist have been studied for sub-10-nm lithography. By optimizing the inorganic resist film quality, 5-nm linewidth patterns with 60-nm periodicity were directly delineated under a 30-keV EB. Moreover, EB-induced deposition is described as an interesting method for nanofabrication. An novel approach for nanolithography using de Broglie wave has been developed. Line and dot patterns with 100-nm periodicity were exposed on a PMMA resist by EB holography with a thermal field-emitter gun and an electron biprism. This technique allows us to produce nanoscale periodic patterns simultaneously. Furthermore, the possibility of nanostructure fabrication by atomic-beam holography has been demonstrated by using a laser-trap technique and a computer-generated hologram made by EB lithography. As applications of EB nanolithography to nanodevices, a 40-nm-gate NMOS Si device and a high-temperature-operation single-electron transistor (SET) are described.

Journal ArticleDOI
TL;DR: In this article, a single electron memory was demonstrated in crystalline silicon that has a transistor channel width of ∼10 nm and a nanoscale floating gate of dimension ∼(7 nm × 7 nm × 2 nm), patterned by electron beam lithography, lift-off and reactive ion etching.
Abstract: A single electron memory was demonstrated in crystalline silicon that has a transistor channel width of ∼10 nm and a nanoscale floating gate of dimension ∼(7 nm × 7 nm × 2 nm), patterned by electron beam lithography, lift-off, and reactive ion etching. Quantized shift in the threshold voltage and self-limited charging process have been observed at room temperature. Analysis has shown that these quantized characteristics are the results of single electron charging effect in the nanoscale floating gate.

Journal ArticleDOI
TL;DR: In this article, a computer program was developed to investigate the significance of various physical effects to the dose distribution in the resist material, which in turn determined the lateral structure resolution and the quality of the resist structures.
Abstract: Deep x-ray lithography with synchrotron radiation is the key microfabrication process in the LIGA technology. Micro-components with a height of some up to several mm can be manufactured with sub- precision. The pattern transfer accuracy is governed by technological constraints like thermal deformation of the mask as well as by various physical effects, e.g. diffraction, emission of photo- and Auger electrons, fluorescence radiation, radiation scattering and divergence of the synchrotron radiation beam. A computer program has been developed to investigate the significance of these effects to the dose distribution in the resist material, which in turn determines the lateral structure resolution and the quality of the resist structures. In this paper the models used for the calculation and the calculation procedure are introduced and the weight of the different contributions with respect to transfer accuracy is investigated. It is shown that beam divergence and diffraction are much less important than the image blur caused by photoelectrons. Fluorescence radiation emitted from the mask membrane or the substrate contributes to the dose deposition in the resist if mask membrane or substrate consists of high-atomic-number material. Scattering of radiation is negligible for resist layers which are less than some mm thick. The calculations are compared with measurement results for different substrate materials, synchrotron radiation sources and resist heights. A good agreement was found between calculated dose distributions and measured resist side wall profiles. This allows a partial compensation of the above-mentioned accuracy limiting effects early in the mask design phase.

Journal ArticleDOI
TL;DR: In this article, cylindrical permalloy dots of diameter varying from 88 to 200 nm were fabricated using high-resolution X-ray and electron beam lithography techniques, and the results of magnetic force microscopy and alternating gradient magnetometry were discussed.

Journal ArticleDOI
TL;DR: In this paper, single-dot and multiple (2, 3, 18, and 37)-dot single electron transistors (SETs) were successfully fabricated on AlGaAs/GaAs wafers using electron beam (EB) lithography and their transport properties were investigated.
Abstract: Single-dot and multiple (2, 3, 18, and 37)-dot single electron transistors (SETs) based on the control of a two-dimensional electron gas (2DEG) with a recently proposed Schottky in-plane gate (IPG) and a newly introduced Schottky wrap gate (WPG) were successfully fabricated on AlGaAs/GaAs wafers using electron beam (EB) lithography and their transport properties were investigated Each of the fabricated SETs showed Coulomb blockade-like conductance oscillation In single-dot SETs, a strong correlation was found between the device dimensions and the temperature limit of the conductance oscillation Conductance oscillation characteristics of multiple-dot SETs were complicated, and were not explained by the classical Coulomb blockade theory Based on a simplified theoretical analysis using computer simulation, it was shown that quantized energy due to electron confinement and dot-coupling can dominate the charging effect in the fabricated SETs

Journal ArticleDOI
TL;DR: In this paper, the surface morphology and the pattern profiles of InP etched patterns obtained by inductively coupled plasma (ICP) were observed by scanning electron microscopy and by atomic force microscopy.
Abstract: We report on the sidewall and surface characterization of InP etched patterns obtained by inductively coupled plasma (ICP). The fabrication of InP based optoelectronic integrated circuits requires dry etching processes, normally using CH4/H2 gas mixtures, with low induced damage, high and reproducible etch rate, and controlled etch direction. These requirements imply the use of a high-density plasma source, which reduces the energy of ions impinging on the wafer surface while keeping a sufficient etch rate. We introduce here the use of an ICP to etch InP. We show that one can obtain anisotropic processes in SiCl4 chemistry avoiding the carrier compensation due to the H+ bombardment. The surface morphology and the pattern profiles are observed by scanning electron microscopy and by atomic force microscopy. Auger electron spectroscopy and secondary ion mass spectroscopy are used to obtain the elemental composition in the top 30 nm of the etched surface and to evaluate contamination. Transmission electron microscopy is used to observe the sidewall damage on patterns delineated by e-beam lithography. The effects of ion density, ion energy, pressure, reactor environment, and surface temperature are observed. Finally, surface damage induced on InP etched substrates are characterized through photoluminescence intensity. We observed the destructive effects of high ion energy etching processes, already reported in CH4/H2 chemistry. Some very low bias voltage processes (as low as 5 V) have been studied in the ICP equipment. It is found that extremely low surface damage and very low sidewall amorphization can be obtained in such processes while keeping high etch rate and anisotropy.

Journal ArticleDOI
TL;DR: In this paper, a hybrid AFM/STM system was proposed for high-speed patterning and suited for integrated circuit lithography applications, which achieved a minimum resolution of 41 nm and nanometer alignment capabilities.
Abstract: We present a new technique for performing lithography with scanning probes that has several advantages over standard methods. This hybrid lithography system combines the key features of the atomic force microscope (AFM) and the scanning tunneling microscope (STM) by incorporating two independent feedback loops, one to control current and one to control force. We demonstrate a minimum resolution of 41 nm and nanometer alignment capabilities. This lithography system is capable of writing continuous features over sample topography. Topography is often present in real patterning applications and poses problems for AFM and STM lithography. We report 100 nm resist features patterned over 180 nm of topography created by local oxidation of silicon. The hybrid AFM/STM system is designed as a robust scanning probe lithography tool, capable of high-speed patterning and suited for integrated circuit lithography applications.

Journal ArticleDOI
TL;DR: In this article, a two-layer process is presented where sub micron features can be easily and controllably placed at the bottom of trenches, a normally very difficult procedure.

Journal ArticleDOI
TL;DR: In this article, a new type of spatially controlled model catalyst was prepared by electron beam lithography, which consisted of a square array of disc shaped platinum particles 50 nm in diameter and spaced at 200 nm on an oxidized silicon wafer.

Journal ArticleDOI
TL;DR: In this article, a nanofabrication technique for fabricating quantum wires with dimensions in the sub-10 nm regime is proposed, which consists of partially shifted resist-pattern formation and orientation-dependent Si etching that takes advantage of the difference in the crystallographic properties of the {111} planes and the other planes.
Abstract: This article proposes a nanofabrication technique for fabricating quantum wires with dimensions in the sub-10 nm regime. This technique consists of partially shifted resist-pattern formation and orientation-dependent Si etching that takes advantage of the difference in the crystallographic properties of the {111} planes and the other planes. When {110} Si substrates are etched using a partially shifted pattern as a mask, the etching generates a smooth {111} side plane and eventually forms a straight Si line pattern whose width is equal to the difference between the size of the shift and the originally exposed linewidth. Therefore, line patterns smaller than the beam diameter of lithographic tools can be formed. We show the effectiveness of this technique by fabricating a 2-nm-wide Si line. The electrical conductance characteristics of the Si nanoline formed by this technique are also shown.

Journal ArticleDOI
TL;DR: In this article, the authors describe the limitations of proximal probe lithography due to electrons that are mirrored by the electric field between the tip and the surface, which contributes to the exposure of the resist and therefore, limit the resolution.
Abstract: This article describes the limitations of proximal probe lithography due to electrons that are mirrored by the electric field between the tip and the surface. The incident beam generates two kinds of electrons at the sample surface: primary electrons which are elastically backscattered and secondary electrons which are produced in the resist/substrate system. The electric field confines the electrons emanating from the surface. The electron trajectories are bent in such a way that the electrons impinge on the sample surface in the vicinity of their origin. These reflected electrons contribute to the exposure of the resist and therefore, limit the resolution. For hexadecanethiol monolayers on gold substrates, we have measured the energy distribution of the mirrored electrons and the secondary electron yield as a function of the primary energy. With near edge x-ray absorption fine structure spectroscopy, we have investigated the relevance of low energy electrons in the exposure of hexadecanethiol films. Sim...

Journal ArticleDOI
TL;DR: In this article, an organosilane monolayer consisting of trimethylsilyl ([ - ) groups prepared on the native oxide of a silicon substrate effectively served as a resist material for AFM-based nanolithography.
Abstract: An organosilane monolayer consisting of trimethylsilyl ([ - ) groups prepared on the native oxide of a silicon substrate effectively served as a resist material for AFM-based nanolithography. The patterning of this resist was performed through its electrochemical degradation locally induced around the contact point of a conductive AFM probe while biasing the sample substrate positively. In the region where the probe passed, the monolayer resist was degraded and the underlying silicon oxide surface was selectively uncovered. The number of electrons injected into the probe-scanned region was controlled by conducting the AFM lithography in constant current mode. By means of this constant current AFM lithography a sufficient amount of electrons could be injected even at high probe-scan rates faster than . It was demonstrated that the TMS monolayer resist was sensitive enough to allow line drawing at a probe scan rate of .

Journal ArticleDOI
TL;DR: In this article, the near-room temperature currentvoltage (I-V) characteristics of small structures made from the metal-cluster material Au55[P(C6H5)3]12Cl6 were studied.
Abstract: The near-room temperature current-voltage (I-V) characteristics of small structures made from the metal-cluster material Au55[P(C6H5)3]12Cl6 were studied. It is shown that these electron-beam defined structures have highly nonlinear characteristics with features, including a threshold voltage and scaling behavior, which are consistent with Coulomb charging of individual Au55 cores in a disordered array. Applied radio frequency signals introduce plateaus in the I-V characteristics, which demonstrates the presence of coherent tunneling in these cluster systems.

Journal ArticleDOI
TL;DR: In this paper, the authors reported a sevenfold improvement in the rate of contamination resist formation over previous experiments by using metastable neon atoms for nanolithography, and demonstrated the fabrication of 50nm wide features in GaAs with well-defined edges and an aspect ratio > 2:1.
Abstract: We report a sevenfold improvement in the rate of contamination resist formation over previous experiments by using metastable neon atoms for nanolithography. Chemically assisted ion beam etching was used to transfer the resist pattern into the substrate. We demonstrate the fabrication of 50-nm-wide features in GaAs with well-defined edges and an aspect ratio >2:1. These are the best resolution and highest aspect ratio features that have been achieved with metastable atom lithography. The resist formation rate by the metastable neon atoms and the etch selectivity of the contamination resist with GaAs were measured.

Journal ArticleDOI
01 Dec 1997
TL;DR: In this article, the resolution limit of calixarene resists is dominated by a development process such as adhesion to a substrate rather than by the electron beam profile, which is the case for most of the resistors.
Abstract: New electron beam (EB) resists made of calixarene resists are introduced. Typical sensitivities of calixarene resists range from 700 µ C/cm2 to 7 mC/cm2. High-density dot arrays with 15 nm diameter constructed using calixarene resist were easily delineated using a point EB lithography system. Our results suggest that the resolution limit of calixarene resists is dominated by a development process such as adhesion to a substrate rather than by the EB profile. Calixarene resists are resistant to etching by halide plasma. We also demonstrated nanoscale devices processed by using calixarene resists. Calixarene resists are promising materials for nanofabrication.