scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 1999"


Journal ArticleDOI
TL;DR: A two-dimensional subwavelength structured (SWS) surface upon a crystal silicon substrate patterned by electron beam lithography and etched by an SF(6) fast atom beam was fabricated and the reflectivity was examined.
Abstract: We fabricated a two-dimensional subwavelength structured (SWS) surface upon a crystal silicon substrate. The SWS surface was patterned by electron beam lithography and etched by an SF(6) fast atom beam. The SWS grating had a conical profile, the period was 150 nm, and the groove was approximately 350 nm deep. The reflectivity was examined at 2002500-nm wavelengths. At 400 nm the reflectivity decreased to 0.5% from the 54.7% of the silicon substrate. We also used HeNe laser light to examine the reflectivity as a function of the incident angle.

426 citations


Journal ArticleDOI
TL;DR: In this article, He+ ion irradiation of Co-Pt multilayers through a silica mask obtained by a combination of high resolution lithography and reactive ion etching can produce an optical contrast-free, entirely planar, sub-50 nm magnetically patterned array.
Abstract: He+ ion irradiation of Co–Pt multilayers through a silica mask obtained by a combination of high resolution lithography and reactive ion etching can produce an optical contrast-free, entirely planar, sub-50 nm magnetically patterned array. Furthermore, the specificity of magnetization reversal in such arrays leads to a weak dispersion of coercive forces. The technique holds promise for both present hard disk technology and future near field magneto-optical recording.

141 citations


Journal ArticleDOI
TL;DR: Reflection gratings produced entirely of dielectric materials give the opportunity to enhance the laser damage threshold over that occurring in conventional metal gratings used for chirped-pulse-amplification, high-power lasers.
Abstract: We report on reflection gratings produced entirely of dielectric materials. This gives the opportunity to enhance the laser damage threshold over that occurring in conventional metal gratings used for chirped-pulse-amplification, high-power lasers. The design of the system combines a dielectric mirror and a well-defined corrugated top layer to obtain optimum results. The rules that have to be considered for the design optimization are described. We optimized the parameters of a dielectric grating with a binary structure and theoretically obtained 100% reflectivity for the -1 order in the Littrow mounting for a 45° angle of incidence. Subsequently we fabricated gratings by structuring a low-refractive-index top layer of a multilayer stack with electron-beam lithography. The multilayer system was fabricated by conventional sputtering techniques onto a flat fused-silica substrate. The parameters of the device were measured and controlled by light scatterometer equipment. We measured 97% diffraction efficiency in the -1 order and damage thresholds of 4.4 and 0.18 J/cm2 with 5-ns and 1-ps laser pulses, respectively, at a wavelength of 532 nm in working conditions.

136 citations


Journal ArticleDOI
TL;DR: In this paper, an AFM-assisted mask patterning is applied to the nanodevices to achieve the smallest possible separation between electrode pairs in order to achieve conformal growth and surface roughness comparable to that of the substrate.
Abstract: Nanoscale science and technology is today mainly focused on the fabrication of nanodevices. Our approach makes use of lithography processes to build the desired nanostructures directly. The fabrication process involves an electron-beam lithography technique to define metallic microstructures onto which nanometre scale patterning is performed using an atomic force microscope (AFM) as a mechanical modification tool. Both direct material removal and AFM-assisted mask patterning are applied in order to achieve the smallest possible separation between electrode pairs. The sample preparation involves a polymer deposition process that results in conformal growth and in surface roughness comparable to that of the substrate. The results of the application of this technique show that the process is reproducible and exhibits a good operation control during the lithographic steps, both ensured by the imaging facilities of the AFM. The nanolithography technique has been used to fabricate nanogap electrodes to be used for molecular devices. The study reported here can be considered as a reliable starting point for the development of more complex nanodevices, such as single-electron transistors.

107 citations


Journal ArticleDOI
TL;DR: In this paper, the surface plasmons are excited in gold nanostructures tailored by electron beam lithography, and the energy transfer from a resonantly excited nanoparticle to a nanowire, which is not directly excited by the incident light is observed.
Abstract: We report on the direct observation of localized surface plasmon coupling using a photon scanning tunneling microscope. The surface plasmons are excited in gold nanostructures tailored by electron beam lithography. Electromagnetic energy transfer from a resonantly excited nanoparticle to a nanowire, which is not directly excited by the incident light is observed. Our experimental results appear to be in good agreement with theoretical computations based on Green's dyadic technique.

98 citations


Journal ArticleDOI
TL;DR: In this article, it has been shown that the coercivity increases as the elements become narrower, down to ultrasmall elements with a width of 40 nm, where the gap between elements is made smaller than the width of an element.
Abstract: Domains and domain walls in micron and submicron sized magnetic elements can be studied at high resolution using Lorentz microscopy in the transmission electron microscope. In situ magnetizing experiments are possible in which magnetization reversal processes can be viewed directly in the presence of varying magnetic fields. These techniques have been used to investigate small magnetic structures fabricated by electron beam lithography on electron transparent membrane substrates. Patterned elements as small as 200 ×40 nm have been imaged magnetically. Detailed studies have been carried out into the properties of high aspect ratio (acicular) elements of Co and a soft NiFe alloy. It has been found that the coercivity increases as the elements become narrower, down to ultrasmall elements with a width of 40 nm. Element length has no effect so long as the aspect ratio is sufficiently high. Magnetization reversal in acicular elements is known to begin from the ends of the elements, therefore the shape of the ends—flat, elliptical, or pointed—has a significant effect on the coercivity. The magnetic environment of an element is also highly important in determining its properties. A one-dimensional array of closely spaced elements has the same average switching field as an isolated element but the spread in values is greatly increased when the gap between elements is made smaller than the width of an element. Adding rows of elements to make a two-dimensional array also has an effect, even if the rows are spaced further apart than the length of the elements.

85 citations


Journal ArticleDOI
TL;DR: In this paper, the magnetic properties of ultra-small-patterned elements of Co and NiFe thin films were investigated and it was shown that the strong dependence of the switching field on element width extended to the smallest elements of both materials.
Abstract: We have investigated the magnetic properties of ultra-small-patterned elements of Co and NiFe thin films. The elements were rectangular with an aspect ratio in the range 3.75–20. The smallest were 200×40 nm2 with 50 nm gaps between them, corresponding to an areal density of 27 Gbit/in2 if used as discrete-patterned media for magnetic recording. The elements were fabricated by electron-beam lithography and lift-off patterning and high-resolution magnetic images were obtained by Lorentz microscopy in a transmission electron microscope. In situ magnetization reversal experiments showed that the strong dependence of the switching field on element width extended to the smallest elements of both materials. The switching field for 40-nm-wide Co elements was 1200 Oe and for 40-nm-wide NiFe elements was 800 Oe. Element length and aspect ratio had little effect.

82 citations


Journal ArticleDOI
TL;DR: By using electron beam lithography, a continuous CoCrPt film with a perpendicular crystal magnetic anisotropy has been patterned into a magnetic nanoparticle array of 29 Gdot/in this paper.
Abstract: By using electron beam lithography, a continuous CoCrPt film with a perpendicular crystal magnetic anisotropy has been patterned into a magnetic nanoparticle array of 29 Gdot/in.2 with a 150 nm period, an 80 nm diameter, and a 44 nm height. Studies of magnetic properties using a magnetic force microscope and a vibrating sample magnetometer show that this patterning increases the remanent-to-saturation magnetization ratio from 0.2 of the continuous film to 1 of the particles, and that each particle has a single magnetic domain with perpendicular anisotropy. The application of this array to future high density magnetic recording media is discussed.

76 citations


Patent
29 Dec 1999
TL;DR: In this paper, a metal layer is patterned by compression molding using a stamp to create a thickness contrast pattern, followed by etching to transfer the thickness contrast patterns into the entire thickness of the metal layer.
Abstract: A metal layer is patterned by compression moulding using a stamp to create a thickness contrast pattern, followed by etching to transfer the thickness contrast pattern into the entire thickness of the metal layer. The stamp is typically patterned using a technique such as electron beam lithography, and the etchant typically etches through the entire metal layer. The method is used to produce structures such as microelectronic circuits.

70 citations


Journal ArticleDOI
TL;DR: In this article, a method for line width control in electron beam lithography is presented, which is especially suited for the manufacture of diffractive optical elements, by defocusing the spot of the electron beam writer.

66 citations


Journal ArticleDOI
TL;DR: In this article, a tapping mode atomic force microscope was used to assemble single-wall carbon nanotube (SWNT) circuits using a tapping-mode microscope, which can be controllably translated, rotated, cut, and placed on top of one another by varying the tip sample force and tip speed.
Abstract: We have developed a method to assemble single-wall carbon nanotube (SWNT) circuits using a tapping mode atomic force microscope. Nanotubes can be controllably translated, rotated, cut, and placed on top of one another by varying the tip–sample force, and the tip speed. These operations let us construct complex nanotube circuits, which are contacted using electron beam lithography. We present data from a circuit of two crossed SWNT bundles. The lower bundle behaves as two quantum dots in series, separated by a tunnel barrier created at the junction. Gate voltages can tune the number of charges on each dot and the tunnel barrier transmission.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the capabilities of proton micromachining as a lithographic technique and compared the dose distribution of high molecular weight PMMA resist with three other types of resist: positive photo resist AZ P4620 and then PMGI SF 23 which can be used as a deep UV, e-beam or X-ray resist.
Abstract: The production of high aspect ratio microstructures is a potential growth area. The combination of deep X-ray lithography with electroforming and micromolding (i.e. LIGA) is one of the main techniques used to produce 3D microstructures. The new technique of proton micromachining employs focused MeV protons in a direct write process which is complementary to LIGA, e.g. micromachining with 2 MeV protons results in microstructures with a height of 63 μm and lateral sub-micrometer resolution in PMMA resist. The aim of this paper is to investigate the capabilities of proton micromachining as a lithographic technique. This involves the study of different types of resists. The dose distribution of high molecular weight PMMA is compared with three other types of resist: First the positive photo resist AZ P4620 will be discussed and then PMGI SF 23, which can be used as a deep UV, e-beam or X-ray resist. Finally SU-8, a new deep UV negative type of chemically amplified resist will be discussed. All these polymers are applied using the spin coating technique at thicknesses of between 1 and 36 μm

Journal ArticleDOI
TL;DR: In this article, the authors measured the switching asymmetries due to strong intercell coupling and the presence of a field normal to the cell surface Magnetic domain configurations during switching depended strongly on the initial conditions, as well as the direction of the perpendicular field relative to the in-plane hysteresis-field direction.
Abstract: Cobalt nanostructures (220 and 300 nm×275 nm×30 nm) were fabricated using electron beam lithography into ordered, close proximity (170 nm) arrays Domain configurations with accompanying hysteresis loops were measured using off-axis electron holography Measurements were compared to solutions of the Landau–Lifshitz–Gilbert equations Both exhibit switching asymmetries due to strong intercell coupling and the presence of a field normal to the cell surface Magnetic domain configurations during switching depended strongly on the initial conditions, as well as the direction of the perpendicular field relative to the in-plane hysteresis-field direction

Journal ArticleDOI
TL;DR: In this paper, the thickness and width of oxide stripes are studied as a function of the applied probe-sample voltage and the speed of the tip, and exposure of PMMA resist (950 K, 3%) is also demonstrated using contact-mode AFM to control the tip/surface interaction through constant force and field emission of electrons to expose the resist.
Abstract: Scanning tunneling microscopy (STM) and atomic force microscopy (AFM) nanolithography techniques based on local oxidation of silicon/titanium and electron beam exposure of PMMA are described. It is shown that a 10 nm resolution can routinely be achieved using tapping-mode AFM-based anodization of silicon and titanium operated in air. The thickness and width of oxide stripes are studied as a function of the applied probe-sample voltage and the speed of the tip. Exposure of PMMA resist (950 K, 3%) is also demonstrated using contact-mode AFM to control the tip/surface interaction through a constant force and field emission of electrons to expose the resist.

Journal ArticleDOI
TL;DR: In this article, a 30-nm-gate InAlAs/InGaAs high electron mobility transistors (HEMTs) lattice-matched to InP substrates are reported.
Abstract: The device characteristics and fabrication of 30-nm-gate InAlAs/InGaAs high electron mobility transistors (HEMTs) lattice-matched to InP substrates are reported. The gate length of 30 nm is achieved for a T-shaped gate geometry, which is necessary to minimize gate resistance for short-gate HEMTs, by using fullerene-incorporated nanocomposite resist in the electron beam direct writing of the bottom of the gate. In addition, the two-step-recess gate technology is used to minimize the extension of effective gate length. The devices provide excellent RF characteristics; a record cutoff frequency of 350 GHz is achieved.

Journal ArticleDOI
TL;DR: In this article, a new method was proposed to build suspended silicon nanowires in highly doped silicon films in silicon-on-insulator substrates using a two-layer positive electron resist.
Abstract: We report on a new method to build suspended silicon nanowires in highly doped silicon films in silicon-on-insulator substrates. The beams are defined by high-resolution, low-energy electron-beam lithography using a two-layer positive electron resist. Micromachining techniques including dry and wet etching are applied to pattern the structures. We show first low-temperature measurements of these novel devices indicating electron-phonon interaction.

Journal ArticleDOI
TL;DR: In this article, a single-electron transistor has been realized in a Ga[Al]As heterostructure by oxidizing lines in the GaAs cap layer with an atomic force microscope.
Abstract: A single-electron transistor has been realized in a Ga[Al]As heterostructure by oxidizing lines in the GaAs cap layer with an atomic force microscope. The oxide lines define the boundaries of the quantum dot, the in-plane gate electrodes, and the contacts of the dot to source and drain. Both the number of electrons in the dot as well as its coupling to the leads can be tuned with an additional, homogeneous top gate electrode. Pronounced Coulomb blockade oscillations are observed as a function of voltages applied to different gates. We find that, for positive top-gate voltages, the lithographic pattern is transferred with high accuracy to the electron gas. Furthermore, the dot shape does not change significantly when in-plane voltages are tuned.

Book ChapterDOI
01 Jan 1999
TL;DR: Despite the extraordinary success of current techniques for microfabrication, new techniques are needed as mentioned in this paper for large scale, high volume processing, and other considerations such as capital and processing costs, waste management, environmental concerns, and the degree of perfection of the final structures may also force the development of new methods for micro fabrication.
Abstract: Despite the extraordinary success of current techniques for microfabrication, new techniques are needed. One reason is scale: optically based lithography is reaching the lower limits for the size of features it can produce (˜100 nm). Another is efficiency: methods such as electron beam lithography are presently linear processes and will require significant development if they are to be used for large scale, high volume processing. Other considerations such as capital and processing costs, waste management, environmental concerns, and the degree of perfection of the final structures may also force the development of new methods for microfabrication.

Journal ArticleDOI
TL;DR: In this article, the surface potential of exposed resist could be negative or positive according to the resist thickness and the electron energy, and the authors were constrained to use a flood beam.
Abstract: Pattern placement imprecision due to charging of the workpiece is believed to be a significant contribution to the total positional error in electron beam lithography. In an earlier work, Liu et al. [J. Vac. Sci. Technol. B 13, 1979 (1995)] reported that the surface potential of exposed resist could be negative or positive according to the resist thickness and the electron energy. In that work the authors were constrained to use a flood beam. In this study, we report a new independent approach using a Kelvin probe electrometer to measure the surface potential after exposure by a focused beam. There is a qualitative agreement with the earlier work in that the surface potential tends to be less positive at lower electron energies and for thicker resists. We observed positive surface potentials at 10 and 20 keV beam irradiation. This positive charging is much more evident in polybutene sulfone than in UV5.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate the operation of bottom-emitting vertical cavity surfaceemitting lasers (VCSELs) with linear mode polarization which is controlled in an arbitrary orientation by the use of patterned metallic mirrors on top of the VCSEL surface.
Abstract: We demonstrate the operation of bottom-emitting vertical cavity surface-emitting lasers (VCSELs) with linear mode polarization which is controlled in an arbitrary orientation by the use of patterned metallic mirrors on top of the VCSEL surface. The top mirror is made in the shape of a 200 nm pitch grating, composed of alternating high reflectivity (Au) and low reflectivity (Cr) metal lines, whose orientation determines the polarization of the laser mode. The gratings were fabricated by high-resolution (<50 nm) electron-beam lithography and lift-off technique, and were aligned with the other parts of the VCSEL structure (top electrode, ion-implanted zone) fabricated by conventional photolithography. Various types of mirror shapes and sizes were fabricated, including square and circular grating envelopes, as well as circular mirrors with an average (radial) Gaussian reflectivity.

Journal ArticleDOI
TL;DR: In this article, the authors proposed a capacitance compensation scheme to ensure continuous resist exposure of SAL601 polymer resist at scan speeds up to 1 mm/s, where the emission current from each tip is individually c
Abstract: Scanning probe lithography (SPL) is capable of sub-30-nm-patterning resolution and nanometer-scale alignment registration, suggesting it might provide a solution to the semiconductor industry’s lithography challenges However, SPL throughput is significantly lower than conventional lithography techniques Low throughput most limits the widespread use of SPL for high resolution patterning applications This article addresses the speed constraints for reliable patterning of organic resists Electrons field emitted from a sharp probe tip are used to expose the resist Finite tip-sample capacitance limits the bandwidth of current-controlled lithography in which the tip-sample voltage bias is varied to maintain a fixed emission current during exposure We have introduced a capacitance compensation scheme to ensure continuous resist exposure of SAL601 polymer resist at scan speeds up to 1 mm/s We also demonstrate parallel resist exposure with two tips, where the emission current from each tip is individually c

Journal ArticleDOI
TL;DR: In this article, the authors studied low voltage (1-2 kV) electron beam lithography processes in PMMA and compared them to conventional high voltage processing and found that forward scattering plays the major role in line broadening.
Abstract: We have studied low voltage (1–2 kV) electron beam lithography processes in PMMA and compared them to conventional high voltage processing. We looked at the deposited metal after liftoff as well as directly imaging resist profiles by atomic force microscopy. As expected, the proximity effects were greatly reduced. The forward scattering was found to increase at low voltage. The study of developed resist profiles showed that linewidth versus dose has a single Gaussian functional form, proving that forward scattering plays the major role in line broadening. The effective Gaussian linewidth is 60 nm at 1 kV in a 50 nm resist layer. Modeling of the lithographic process showed a significant increase in resolution and process latitude for thinner resists.

Journal ArticleDOI
TL;DR: In this paper, the size and geometry dependence of the surface enhanced Raman scattering effect on supported particles was investigated by manufacturing artificial structures by modern nanofabrication techniques, and an enormous enhancement, by a factor of 1014, was achieved for specific panicle dimensions.

Journal ArticleDOI
TL;DR: In this paper, the suitability of electron energies down to 2 keV with a writing resolution of about 10 nm was demonstrated and irradiation damage during the exposure of a high-mobility two-dimensional electron gas using calixarene plays no significant role in the low-energy regime.
Abstract: Low-energy electron-beam lithography using calixarene as a negative electron resist has been investigated in the energy range between 0.5 and 20 keV. The suitability of electron energies down to 2 keV with a writing resolution of about 10 nm is clearly demonstrated. At low electron energies the required electron dose is drastically reduced. Moreover, irradiation damage during the exposure of a high-mobility two-dimensional electron gas using calixarene plays no significant role in the low-energy regime.

Journal ArticleDOI
TL;DR: In this article, the liquid crystal triphenylene derivative 2,3,6,7,10,11-hexapentyl-oxytriphenylene acts as a high-resolution electron beam resist.
Abstract: We show that the liquid crystal triphenylene derivative 2,3,6,7,10,11-hexapentyl-oxytriphenylene acts as a high-resolution electron beam resist. Using pentanol as a developer, positive behaviour was observed for electron doses greater than ~300µC cm-2 at 20 keV. At higher doses (>2.5 mC cm-2), the resist rapidly assumes negative tone behaviour. With the developer monochlorobenzene, only negative behaviour was observed, with a sensitivity of ~2.5 mC cm-2 at 20 keV. The resist allows relatively facile definition of 14 nm patterns (negative tone) with a 30 keV electron beam and without the need for any complex pre-irradiation preparation or post-irradiation processing of the resist.

Journal ArticleDOI
TL;DR: In this article, the authors measured the line-edge roughness of resist features and found that resist near the substrate is smoother than resist at the top surface of the resist feature.
Abstract: As critical dimensions of resist features shrink, roughness of the features may contribute significantly to the variation in critical dimension. Measuring and understanding the causes of this roughness will become increasingly important with smaller sizes. To date, mainly two techniques have been used to measure the roughness: atomic force microscopy (AFM) and scanning electron microscopy (SEM). Topdown SEM measurements provide an easy and expedient measure of the variation in the profile of the resist feature. These measurements are often called “line-edge roughness” (LER). AFM measurements are considerably more time consuming, but provide information on the entire sidewall surface of the resist, rather than just the profile in line-edge roughness. Our recent AFM measurements on the positive-tone resist APEX-E and UV5 have shown that the sidewall roughness of the resist is depth dependent; resist near the substrate is smoother than resist at the top surface of the resist. For instance, APEX-E may have a ...

Journal ArticleDOI
TL;DR: In this article, a low energy electron(e)-beam proximity projection lithography is proposed for integrated circuit lithography for minimum feature sizes ⩽0.1 μm, which does not suffer from the space charge effect in the electron optics column nor the proximity effect with respect to both the wafer and mask.
Abstract: Low energy electron(e)-beam proximity projection lithography is proposed for integrated circuit lithography for minimum feature sizes ⩽0.1 μm. This new e-beam lithography is similar to optical projection lithography except that photons are replaced by low energy electrons of 2 kV. The low e-beam energy permits the use of single crystal 0.5 μm thick silicon membrane masks without an absorbing metal layer of high atomic number. This membrane mask is thick enough for good heat conduction and thin enough for feature sizes ⩽0.1 μm. The mask distortion caused by the fabrication can be corrected by a fine-tuning deflector. Therefore the mask is allowed to have a residual distortion of more than 100 nm. The proposed system does not suffer from the space charge effect in the electron optics column nor the proximity effect with respect to both the wafer and mask writings, and it is fundamentally a low power lithography which needs no special cooling system. The analysis shows that the e-beam column can be made enti...

Journal ArticleDOI
TL;DR: In this article, the dissolution properties of modern resists (SAL605, UV6, and PMMA) in terms of development properties and surface roughness were measured for each resist for EUV and x-ray exposures.
Abstract: Extreme ultraviolet lithography (EUVL) is a next generation lithography technology aimed at critical dimension of 70 nm and below. It is important to characterize the imaging properties of the resist in this wavelength range. In this article we present results of EUVL and x-ray lithography studies of the dissolution properties of modern resists (SAL605, UV6, and PMMA) in terms of development properties and surface roughness. The exposure response curves and surface roughness were measured for each resist for EUV and x-ray exposures. We find that the absorbed dose can be used as a common parameter to compare exposure response curves from EUV and x ray on the same scale. We also find that the surface roughness is a specific property of each resist system. The relative importance of shot noise effects on roughness is discussed.

Journal ArticleDOI
TL;DR: In this paper, the authors describe the fabrication of four-level zone plates made of gold and nickel, whose total thickness is 2.1 μm and 4.0 μm, respectively, at the first diffraction order and at 7 keV x-ray energy.
Abstract: X-ray microscopy is a research area in which enormous progress has been made in the last years. The advent of third-generation synchrotons, together with the progress made in the fabrication of new optical devices, such as zone plate, are the two main factors that have influenced the interest around microscopy science. The article will treat the fabrication, by means, exclusively, of electron-beam lithography, of high-efficiency zone plates for x rays in the energy range of hard x rays. We were able to fabricate four-level zone plates made of gold and nickel, whose total thickness is 2.1 μm for gold, and 4.0 μm for nickel. The resolution, of the last level, is equal to 0.5 μm. These zone plates have experimental efficiency of about 38% in the case of gold, and 55% in the case of nickel, at the first diffraction order and at 7 keV x-ray energy. To our knowledge, the nickel zone plates have the highest efficiency ever obtained at x-ray wavelengths.

Journal ArticleDOI
TL;DR: In this paper, a bilayer of Shipley UVIII DUV resist and poly(methylmethacrylate) is used to fabricate T-shaped and Γ-shaped gates with footwidth sizes as small as 50 nm and headwidth to footwidth ratios in excess of 40:1.
Abstract: A new process has been developed to fabricate T-shaped gates and Γ-shaped gates for high performance metal–semiconductor field effect transistors and high electron mobility transistors using a bilayer of Shipley UVIII DUV resist and poly(methylmethacrylate). The two resists are separated by a 20–30 nm thick layer of aluminum and after patterning by electron beam lithography a two-stage development technique is used to remove the aluminum and to produce well-defined resist profiles. The process can be used to fabricate T-shaped and Γ-shaped gates with footwidth sizes as small as 50 nm and headwidth to footwidth ratios in excess of 40:1 for T gates and 35:1 for Γ gates. The ability to fabricate gates with these dimensions arises from the fact that the UVIII resist is considerably more sensitive to electron beam exposure than PMMA. Further benefits derived from using a UVIII: PMMA bilayer are better control of footwidth dimensions and shorter electron beam patterning times compared to bilayers of PMMA with copolymers of PMMA. This article describes process optimization and the relationship between feature size and exposure dose.