scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2006"


Journal ArticleDOI
TL;DR: It is realized experimentally a silicon-on-insulator photonic crystal waveguide having nearly constant group velocity ~c(0)/34 in an 11-nm bandwidth below the silica-line.
Abstract: We demonstrate a concept for tailoring the group velocity and dispersion properties for light propagating in a planar photonic crystal waveguide By perturbing the holes adjacent to the waveguide core it is possible to increase the useful bandwidth below the light-line and obtain a photonic crystal waveguide with either vanishing, positive, or negative group velocity dispersion and semi-slow light We realize experimentally a silicon-on-insulator photonic crystal waveguide having nearly constant group velocity ~c0/34 in an 11-nm bandwidth below the silica-line

443 citations


Journal ArticleDOI
TL;DR: In this article, the authors investigated theoretically and experimentally transmission color filters using silicon subwavelength gratings on quartz substrates, and obtained transmission spectrum obtained from a coupling between an incident light and the submicrometer periodic grating matches with human color perception.
Abstract: We investigate theoretically and experimentally transmission color filters using silicon subwavelength gratings on quartz substrates. Each grating area is 120 mum-square, which is suitable pixel size for displays and multichannel detectors. In the fabrication, electron beam lithography and fast atom beam etching are used. The grating periods are 400, 350, and 440 nm for the red, green, and blue filters, respectively. The transmission spectrum obtained from a coupling between an incident light and the submicrometer periodic grating matches with human color perception. The transmittances of 71.1%, 58.1%, and 59.3% are obtained for the red, green, and blue filters, respectively

180 citations


Journal ArticleDOI
TL;DR: In this paper, the SERS efficiency of gold nanowires arrays elaborated by electron beam lithography and lift-off technique efficiency is investigated by depositing a molecular probe (BPE) trans-1,2-bis(4-pyridyl)ethylen on the arrays and using an excitation wavelength of 632.8 nm.

139 citations


Journal ArticleDOI
TL;DR: In this paper, a detailed study of the performance and behavior of surface plasmon polariton (SPP-Bragg) mirrors, designed for 45 × 45 ∞ incidence, based on this approach is performed.
Abstract: The development of surface plasmon polariton (SPP) optical elements is mandatory in order to achieve surface plasmon based photonics. A current approach to reach this goal is to take advantage of the interaction of SPP with defects and design elements obtained by the micro- or nano-structuration of the metal film. In this work, we have performed a detailed study of the performance and behavior of SPP-Bragg mirrors, designed for 45\ifmmode^\circ\else\textdegree\fi{} incidence, based on this approach. Mirrors consisting of gratings of both metal ridges on the metal surface and grooves engraved in the metal, fabricated by means of electron beam lithography and focused ion beam, have been considered. The performance of the mirrors has been characterized via near-field optical microscopy. An original procedure to obtain quantitative values of the mirrors' reflectivity and transmission coefficient from the near-field images is exposed. The mirrors composed of metal ridges are shown to act very efficiently, and a rather low number of elements (15 for the specific geometry studied) is able to deflect almost 100% of the incoming power. Conversely, the arrays of grooves produce a much lower reflectivity, which we attribute mostly to radiative scattering in the forward direction induced by the grooves. Besides, the considered systems have also been simulated by using the differential method. The results obtained from the numerical calculations present an excellent agreement with the experimental data, proving the reliability of this method to predict the behavior of this kind of systems while interacting with SPP. Based on the numerical modeling of the SPP-Bragg mirrors, the evolution of the mirrors' behavior with the ridges height is analyzed.

109 citations


Journal ArticleDOI
TL;DR: In this paper, a method for fabricating nanogaps directly with electron beam lithography (EBL) was proposed, where the primary resolution limit of EBL, electron backscattering, is reduced dramatically by using a thin-film as a substrate.
Abstract: We report a method for fabricating nanogaps directly with electron beam lithography (EBL). The primary resolution-limit of EBL, electron back-scattering, is reduced dramatically by using a thin-film as a substrate. We show that this resolution enhancement allows one to fabricate metal electrodes with separation from arbitrarily large to under one nanometer. Furthermore, because these nanogaps are on a thin film, they can be imaged with high-resolution transmission electron microscopy (HRTEM). Using these nanogaps we measured the charge transport through several coupled PbSe nanocrystals and correlated the data with detailed structural information obtained by performing HRTEM on the same device.

96 citations


Journal ArticleDOI
TL;DR: In this article, high resolution 100kV electron beam lithography in thin layers of the negative resist SU-8 is demonstrated, where sub-30nm lines with a pitch down to 300nm are written in 100nm-thick negative resist.

92 citations


Journal ArticleDOI
TL;DR: Very high frequency surface acoustic wave (SAW) devices based on AlN/diamond layered structures were fabricated by direct writing using e-beam lithography on the nucleation side of chemical vapor deposition diamond.
Abstract: Very high frequency surface acoustic wave (SAW) devices based on AlN/diamond layered structures were fabricated by direct writing using e-beam lithography on the nucleation side of chemical vapor deposition diamond. The interdigital transducers made in aluminum with resolutions down to 500nm were patterned on AlN/diamond layered structure with an adapted technological process. Experimental results show that the Rayleigh wave and the higher modes are generated. The fundamental frequency around 5GHz was obtained for this layered structure SAW device and agrees well with calculated results from dispersion curves of propagation velocity and electromechanical coupling coefficient.

91 citations


Journal ArticleDOI
TL;DR: In this paper, single-crystalline silver nanowires were grown on 4° vicinal silicon (100) substrates by self-organization and were contacted by electron beam lithography.
Abstract: We present electromigration experiments on single-crystalline silver nanowires. The wires were grown on 4° vicinal silicon (100) substrates by self-organization and were contacted by electron beam lithography. The electromigration experiments were performed in situ in a scanning electron microscope at room temperature with constant dc conditions. In contrast to other experiments we observe void formation at the anode side of the wires. If the current is reversed, the electromigration behavior is also reversed.

81 citations


Journal ArticleDOI
TL;DR: The first successful fabrication of a Boersch phase plate was reported in this article, which was produced by a combination of electron-beam and focused ion-beam lithography on a freestanding silicon nitride membrane.
Abstract: The Boersch phase plate for a transmission electron microscope (TEM) offers major advantages over other phase plate concepts. However, due to its miniature dimensions, it could not be constructed and implemented so far. We report the first successful fabrication of a Boersch phase plate, which was produced by a combination of electron-beam and focused ion-beam lithography on a freestanding silicon nitride membrane. The manufactured multilayer electrode structure was tested for its functionality as an electrostatic einzel lens in a TEM. First experiments show that it can be used as a phase shifting device, as proposed by Boersch, to optimize phase contrast transfer in transmission electron microscopy.

80 citations


Journal ArticleDOI
TL;DR: Molecular glass resists as discussed by the authors are low molecular-weight organic photoresist materials that readily form stable amorphous glasses above room temperature and can produce fine features as small as 35 nm line/space patterns using either extreme UV or electron beam lithography.
Abstract: Molecular glass resists are low molecular-weight organic photoresist materials that readily form stable amorphous glasses above room temperature. We have created new families of molecular glass materials that function as both positive and negative resists capable of producing fine features as small as 35 nm line/space patterns using either extreme UV (13.4 nm) or electron beam lithography. These molecular glass resists have promising properties and are being considered as alternative choices to polymeric resists for next generation photoresist design.

77 citations


Journal ArticleDOI
TL;DR: Functionality of gold nanorod structures as ultra-sensitive optical rulers is demonstrated and their longitudinal plasmon scattering spectra were found to exhibit extreme sensitivity to the length of the nanorods.
Abstract: Functionality of gold nanorod structures as ultra-sensitive optical rulers is demonstrated. Arrays of gold nanorods were fabricated by electron beam lithography and lift-off techniques with high accuracy and uniformity. Their longitudinal plasmon scattering spectra were found to exhibit extreme sensitivity to the length of the nanorods. This phenomenon enables optical detection of the nanorod length variations comparable to the thickness of a few atomic layers of gold.

Journal ArticleDOI
TL;DR: This Letter describes a method to generate nanometer scale patterns on insulating substrates and wide band gap materials using critical energy electron beam lithography that removes the need for conductive dissipation layers or differentially pumped e-beam columns with sophisticated gas delivery systems to control charging effects.
Abstract: This Letter describes a method to generate nanometer scale patterns on insulating substrates and wide band gap materials using critical energy electron beam lithography By operating at the critical energy (E2) where a charge balance between incoming and outgoing electrons leaves the surface neutral, charge-induced pattern distortions typically seen in e-beam lithography on insulators were practically eliminated This removes the need for conductive dissipation layers or differentially pumped e-beam columns with sophisticated gas delivery systems to control charging effects Using a “scan square” method to find the critical energy, sub-100 nm features in 65 nm thick poly(methyl methacrylate) on glass were achieved at area doses as low as 10 μC/cm2 at E2 = 13 keV This method has potential applications in high-density biochips, flexible electronics, and optoelectronics and may improve the fidelity of low voltage e-beam lithography for parallel microcolumn arrays

Journal ArticleDOI
TL;DR: The successful fabrication and operation of photonic crystal waveguides on SOI, with lower silicon dioxide cladding remaining, using 193 nm DUV lithography is shown, demonstrating that 193 nm lithography gives more process latitude, allowing a wider range of periods and hole diameters to be printed.
Abstract: We show the successful fabrication and operation of photonic crystal waveguides on SOI, with lower silicon dioxide cladding remaining, using 193 nm DUV lithography. We demonstrate that 193 nm lithography gives more process latitude, allowing a wider range of periods and hole diameters to be printed, as well as reducing the optical proximity effect to a minimum. The smallest period /hole size variation printed successfully was 280 nm and 150 nm, which is very promising for ambitious future designs. Lowest losses obtained were 14.2 ± 2.0 dB/cm for a W1 waveguide in a 400 nm lattice with an r/a of 0.25 at a frequency of 0.257 a/λ, which approaches the best losses reported for air-bridge type W1s.

Journal ArticleDOI
TL;DR: In this article, a method of fabricating nanogaps using a combination of self-assembled molecular and electron beam lithographic techniques was developed and tested using a single electron tunneling phenomenon through dodecanethiol-coated Au nanoparticles.
Abstract: We have developed and tested a new method of fabricating nanogaps using a combination of self-assembled molecular and electron beam lithographic techniques. The method enables us to control the gap size with an accuracy of approximately 2nm and designate the positions where the nanogaps should be formed with high-resolution patterning by using electron beam lithography. We have demonstrated the utility of the fabricated nanogaps by measuring a single electron tunneling phenomenon through dodecanethiol-coated Au nanoparticles placed in the fabricated nanogap.

Journal ArticleDOI
TL;DR: In this article, a fabrication technique for discrete, released carbon-nanotube-based nanomechanical force sensors is presented, which uses prepatterned coordinate markers to align the device design to predeposited single-walled carbon nanotubes (SWNTs).
Abstract: We present a fabrication technique for discrete, released carbon-nanotube-based nanomechanical force sensors. The fabrication technique uses prepatterned coordinate markers to align the device design to predeposited single-walled carbon nanotubes (SWNTs): Atomic force microscope (AFM) images are recorded to determine spatial orientation and location of each discrete nanotube to be integrated in a nanoscaled force sensor. Electron beam lithography is subsequently used to pattern the metallic electrodes for the nanoscale structures. Diluted hydrofluoric acid etching followed by critical point drying completes the nanosized device fabrication. We use discrete, highly purified, and chemically stable carbon nanotubes as active elements. We show AFM and scanning electron microscope images of the successfully realized SWNTs embedded nanoelectromechanical systems (NEMS). Finally, we present electromechanical measurements of the suspended SWNT NEMS structures

Journal ArticleDOI
TL;DR: The PBG properties of the experimentally feasible geometries are studied using the superimposition of two interference patterns and it is demonstrated that this asymmetric shape appears a PBG for TE polarization, in comparison with cylindrical structures.
Abstract: Two-dimensional hexagonal photonic crystals can be recorded using the simple superimposition of two interference patterns rotated by 60 masculine. Such process generates high contrast masks, however, it generates elliptical cross section structures instead of cylinders. We study the PBG properties of the experimentally feasible geometries, using this technique and we demonstrate that the effect of this asymmetric shape is a reduction in the PBG map area, for TE polarization, in comparison with cylindrical structures. On the other hand, it appears a PBG for TM polarization.

Journal ArticleDOI
TL;DR: In this article, on axis calibration, beam placement, subpixel image processing for overlay, and smooth generation of arc shapes have been used to make diffractive structures with linewidths approaching 10 nm and near diffraction limited optical performance.
Abstract: Diffraction of electromagnetic radiation remains a viable method for manipulation and focusing of extreme ultraviolet and X-ray wavelengths where the optical properties preclude significant phase shift without attenuation. As the wavelength becomes smaller, the characteristic dimensions needed for effective utilization of diffraction proportionally shrink, placing significant demands on the half-pitch of the diffractive structure. State-of-the-art nanofabrication technology is then required. Additionally, line placement over the entire grating, zone plate lens, or other diffractive element requires an accuracy on the order of a small fraction of a linewidth over the entire structure. This places a heavy burden on the alignment and calibration of the pattern-generating tool. In the case of zone plate lenses, smooth curved geometric elements are required. Specialized techniques for electron beam lithography have been developed to meet these demands, which diverge from the technology used to meet the challenges encountered in mask making and electronic circuit research. The techniques are in four areas: on axis calibration, beam placement, subpixel image processing for overlay, and smooth generation of arc shapes. Using the ensemble of these specialized techniques, high-resolution electron beam lithography nanofabrication has been used to successfully make diffractive structures with linewidths approaching 10 nm and near diffraction limited optical performance.

Journal ArticleDOI
TL;DR: In this paper, the effects of developing conditions on the lithography properties of hydrogen silsesquioxane (HSQ) have been investigated, using the contrast curve as a characterisation tool.

Journal ArticleDOI
23 May 2006-Langmuir
TL;DR: A study of electron beam lithography (EBL) patterning of a self-assembled monolayer (SAM) of the amide-containing poly(ethylene glycol) (PEG) thiol on Au to produce patterns with a resolution approaching that of single proteins.
Abstract: Controlling the spatial organization of biomolecules on solid supports with high resolution is important for a widerange of scientific and technological problems. Here we report a study of electron ...

Journal ArticleDOI
TL;DR: Good accordance has been obtained between the theoretical approach and experimental results and the impact of the pattern symmetry breakdown on mould deformation is clearly shown in this paper in the printed areas as well as in the unprinted areas.
Abstract: Sub-100 nm resolution on a 200 mm silicon stamp has been hot embossed into commercial Sumitomo NEB 22 resist. A single pattern, exposed with electron beam lithography, has been considered to define the stamp and thus make it possible to point out the impact of stamp design on the printing. These results may be considered as a first attempt to define rules to solve the proximity printing effects (PPEs). Moreover, a large range of initial resist thickness, from 56 to 506 nm, has been spin coated to assess the effect of polymer flow properties for the stamp cavity filling and the printed defects. A detailed analysis of the printed resist in dense hole patterns showed that the application volume conservation is enough to calculate the residual layer thickness as the height of the printed resist feature. Good accordance has been obtained between the theoretical approach and experimental results. Moreover, the impact of the pattern symmetry breakdown on mould deformation is clearly shown in this paper in the printed areas as well as in the unprinted areas.

Journal ArticleDOI
TL;DR: The results show that nanofeatures of size X, diameter Y, and spacing Z decrease cell spreading, reduce cytoskeletal organization, and increase endocytotic activity within the cells, thus providing 3D constructs for cell biology.
Abstract: Until now, nanotopography has been considered in 2D construct designs. This has been due to fabrication limitations with traditional lithographic processes relying on the ability to focus radiation that will expose a radiation sensitive resist (e.g. photolithography and electron beam lithography). More recently, alternative methods that offer rapid and cheap nanofabrication have been developed; such methods include polymer demixing and colloidal lithography. Polymer demixing in 2D has relied on spin casting of polymer blends-such as polystyrene and polybromostyrene in a solvent such as toluene. As the solvent evaporates, the polymers phase separate and form nanoislands. In this study, the polymer blend solution has been blown through fine tubes and allowed to demix, thus providing 3D constructs for cell biology. The ability to fabricate in tubes may be useful in many applications, for example stents, conduits, and bone repair (when considering structures such as Haversian tubes and Volkmann's canals). As proof of concept, human osteoprogenitor cells have been used to test the cell response to the nanopatterned tubes. The results show that nanofeatures of size X, diameter Y, and spacing Z decrease cell spreading, reduce cytoskeletal organization, and increase endocytotic activity within the cells.

Journal ArticleDOI
TL;DR: In this paper, the authors describe efficient patterning of transparent, conductive single-walled carbon nanotube thin films by photolithography and e-beam lithography followed by reactive ion etching, and study the transport characteristics of the films patterned down to 200nm lateral dimensions.
Abstract: The authors describe efficient patterning of transparent, conductive single-walled carbon nanotube thin films by photolithography and e-beam lithography followed by reactive ion etching, and study the transport characteristics of the films patterned down to 200nm lateral dimensions. The resistivity of the films is independent of device length, while increasing over three orders of magnitude compared to the bulk films, as their width and thickness shrink. This behavior is explained by a geometrical argument. Such “top-down” patterning of nanotube films should permit their integration into submicron device structures; however, the strong resistivity scaling will have to be taken into account.

Journal ArticleDOI
TL;DR: This technique, Transmission-based Near-field Scanning Optical Microscopy (TraNSOM), measures changes in transmission through a waveguide resulting from near field perturbation by a scanning metallic probe to compare well to theoretical results.
Abstract: We demonstrate a new technique for high resolution imaging of near field profiles in highly confining photonic structures. This technique, Transmission-based Near-field Scanning Optical Microscopy (TraNSOM), measures changes in transmission through a waveguide resulting from near field perturbation by a scanning metallic probe. Using this technique we compare different mode polarizations and measure a transverse optical decay length of lambda/15 in sub-micron Silicon On Insulator (SOI) waveguides. These measurements compare well to theoretical results.

Journal ArticleDOI
A. Chen1, Soo Jin Chua1, Peng Chen, X Y Chen, L K Jian 
TL;DR: In this paper, the fabrication process of SiO2 templates with periodic sub-100nm patterns by electron-beam (e-beam) lithography followed by reactive ion etching was reported.
Abstract: We report on the fabrication process of SiO2 templates with periodic sub-100 nm patterns by electron-beam (e-beam) lithography followed by reactive ion etching. One-dimensional and two-dimensional periodic patterns were defined in 350 nm polymethylmethacrylate resist by e-beam lithography, and then transferred into 100 nm SiO2 layers which were coated on GaAs or GaN/sapphire substrate. Patterns including line arrays and square/hexagonal hole arrays were obtained in the SiO2 layers with sizes ranging from 100 nm to 52 nm in width or diameter. A pattern size deviation of less than 4% in a hole array of 100 µm by 100 µm was achieved. The patterned SiO2 layers can serve as templates for the growth of periodic III–V semiconductor nanostructures. In this work, periodic InGaN nanowires and nanodots with high optical quality have been fabricated successfully by using the SiO2 templates on GaN/sapphire.

Journal ArticleDOI
TL;DR: In this paper, a silicon surface is first patterned with gold, using lift-off electron-beam lithography, and the resulting pattern is then amplified by surface-initiated photopolymerization by conventional, UV-light-induced free radical polymerization (bottom-up) from an immobilized 2,2'-azobisisobutyronitrile (AIBN) type initiator.
Abstract: We present a simple “top-down/bottom-up” strategy to fabricate nano- and micropatterned polymer brush arrays composed of pH- and salt-sensitive, weak polyelectrolyte copolymers [poly(N-isopropylacrylamide-co-methacrylic acid, 3:1, poly(NIPAAM-co-MAA)]. In our approach, a silicon surface is first patterned with gold, using “lift-off” electron-beam lithography (“top-down”), and the resulting pattern is then amplified by surface-initiated photopolymerization by conventional, UV-light-induced free radical polymerization (“bottom-up”) from an immobilized 2,2‘-azobisisobutyronitrile (AIBN) type initiator. The use of pH- and ionic-strength-sensitive comonomers in the copolymer brush enables large, externally triggered conformational changes of the micro- and nanopatterned polymer brushes. We observed that the height of nanopatterned ionized polymer brushes increases with increasing feature size of the pattern. The design and fabrication of surfaces with conformationally switchable, patterned polymeric structures...

Journal ArticleDOI
TL;DR: In this paper, the reactivity of acid generators with low-energy electrons was evaluated using solvated electrons in tetrahydrofuran, which were generated by a pulsed electron beam.
Abstract: In chemically amplified resists for ionizing radiations such as electron beams and extreme ultraviolet (EUV), low-energy electrons play an important role in the pattern formation processes. The reactivity of acid generators with low-energy electrons was evaluated using solvated electrons in tetrahydrofuran, which were generated by a pulsed electron beam. The rate constants of acid generators with the solvated electrons ranged from 0.6 to 1.9 ×1011 M-1 s-1.

Journal ArticleDOI
TL;DR: In this paper, the enhancement of fluorescence by Ag nanopillars on a semiconducting substrate in which the pillar size, shape, and spacing is varied systematically using electron-beam lithography was studied.
Abstract: We study the enhancement of fluorescence by Ag nanopillars on a semiconducting substrate in which the pillar size, shape, and spacing is varied systematically using electron-beam lithography. Local maxima in the enhancement versus lateral size, as large as a factor of ∼20 are observed, and vary both with the shape of the pillars and the wavelength of the excitation. We find that the size, shape, and spacing dependence is in qualitative agreement with a model based upon resonant coupling with particle plasmon polaritons. We also find increased enhancement for particle shapes producing high local electric fields.

Journal ArticleDOI
TL;DR: In this paper, a 3D simulation model based on the kinetic transport theory for calculating the distribution of PMMA fragments after an exposure to electron impact was presented, where the conditions employed for the modeling were chosen to resemble a typical electron beam lithography exposure.
Abstract: We report a three-dimensional (3D) simulation model based on the kinetic transport theory for calculating the distribution of PMMA fragments after an exposure to electron impact. The conditions employed for the modeling were chosen to resemble a typical electron beam lithography exposure. The model accounts for inelastic collisions of electrons in PMMA and resulting random main-chain scissions. We have considered gratings composed of parallel lines distanced by 10–50nm and exposed to electrons with energies of 10–60keV. By the model simulations, we have generated and analyzed the detailed 3D distributions of small PMMA fragments (one to ten monomers) that are soluble at the development stage and thus are responsible for the clearance in the gratings. In terms of the spatial distributions of soluble fragments, we have formulated the criteria that define the total clearance as well as the local grating development and investigated their dependence on the grating period, electron dose, and energy.

Journal ArticleDOI
TL;DR: In this article, a novel 3D fabrication process has been proposed by utilizing the proximity effect of electron beam lithography (EBL) to create 3D microstructures on negative photoresists as the primary molds, which are subsequently transferred to their corresponding negative molds using nanoimprinting lithography and to form the final replicas by either electroforming or polymer spin casting to reduce cost.
Abstract: Recently, with the advancement in bio-MEMS and micro optoelectromechanical systems (MOEMS), 3D microstructures have become increasingly important and efficient fabrication processes are currently being sought. In this paper, a novel 3D fabrication process has been proposed by utilizing the proximity effect of electron beam lithography (EBL) to create 3D microstructures on negative photoresists as the primary molds, which are subsequently transferred to their corresponding negative molds using nanoimprinting lithography (NIL), and to form the final replicas by either electroforming or polymer spin casting to reduce cost. The effect of electron backscattering on the 3D topography is firstly investigated and the relationship among the spatial distribution of electron beam irradiation, the spot size and the dosage level of irradiation is experimentally characterized in SU-8 to establish a dosage kernel distribution function. A mathematical procedure based on linear operation of this kernel function is then proposed to mimic the EBL fabrication process. The subsequent experiments indicate that the predicted surface profiles agree with the experimental results to large extent and the proposed mathematical operations are valid for the purpose of designing the fabrication process. Finally, the SU-8 primary molds are transferred to NEB to form secondary molds via the nanoimprinting process. It shows that the nanoimprinting process can essentially reproduce the shape and geometry of the primary molds. However, due to the nature of polymer-to-polymer contact printing, the elastic restitution of materials induces a slight deviation of the final device size and a further study should be made in the future to minimize such types of error. Although the above problems are reported, nevertheless, the primary experimental results indicate that this proposed fabrication process is capable of creating 3D shape microstructure in the order of 1 µm and should be useful for related optical-, bio- and RF-MEMS applications.

Journal ArticleDOI
TL;DR: C-shaped ridge apertures are used in contact nanolithography to achieve nanometer scale resolution and Lithography results demonstrated that holes as small as 60 nm can be produced in the photoresist by illuminating the aperture with a 355 nm laser beam.
Abstract: C-shaped ridge apertures are used in contact nanolithography to achieve nanometer scale resolution. Lithography results demonstrated that holes as small as 60 nm can be produced in the photoresist by illuminating the apertures with a 355 nm laser beam. Experiments are also performed using comparable square and rectangular apertures. Results show enhanced transmission and light concentration of C apertures compared to the apertures with regular shapes. Finite difference time domain simulations are used to design the apertures and explain the experimental results.