scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2011"


Journal ArticleDOI
TL;DR: A wet-chemical approach employing chloroform was developed in this study, which was shown to enable both intrinsic surfaces and enhanced electrical properties of graphene devices.
Abstract: By combining atomic force microscopy and trans-port measurements, we systematically investigated effects of thermal annealing on surface morphologies and electrical properties of single-layer graphene devices fabricated by electron beam lithography on silicon oxide (SiO(2)) substrates. Thermal treatment above 300 °C in vacuum was required to effectively remove resist residues on graphene surfaces. However, annealing at high temperature was found to concomitantly bring graphene in close contact with SiO(2) substrates and induce increased coupling between them, which leads to heavy hole doping and severe degradation of mobilities in graphene devices. To address this problem, a wet-chemical approach employing chloroform was developed in our study, which was shown to enable both intrinsic surfaces and enhanced electrical properties of graphene devices. Upon the recovery of intrinsic surfaces of graphene, the adsorption and assisted fibrillation of amyloid β-peptide (Aβ1-42) on graphene were electrically measured in real time.

527 citations


Journal ArticleDOI
TL;DR: The use of high-resolution electron beam lithography to fabricate complex nanocavities with nanometric spatial and positional control and the plasmon modes of these nanostructures are mapped using electron energy-loss spectroscopy in a scanning transmission electron microsope.
Abstract: We demonstrate the use of high-resolution electron beam lithography to fabricate complex nanocavities with nanometric spatial and positional control. The plasmon modes of these nanostructures are then mapped using electron energy-loss spectroscopy in a scanning transmission electron microsope. This powerful combination of patterning and plasmon mapping provides direct experimental verification to theoretical predictions of plasmon hybridization theory in complex metal nanostructures and allows the determination of the full mode spectrum of such cavities.

252 citations


Journal ArticleDOI
TL;DR: A flexible metamaterial (Metaflex)-based photonic device operating in the visible-IR regime, which shows potential applications in high sensitivity strain, biological and chemical sensing, and considerable promise in flexible and transparent photonic devices for chemical and biological sensing.
Abstract: Flexible electronic and photonic devices have been demonstrated in the past decade, with significant promise in low-cost, light-weighted, transparent, biocompatible, and portable devices for a wide range of applications. Herein, we demonstrate a flexible metamaterial (Metaflex)-based photonic device operating in the visible–IR regime, which shows potential applications in high sensitivity strain, biological and chemical sensing. The metamaterial structure, consisting of split ring resonators (SRRs) of 30 nm thick Au or Ag, has been fabricated on poly(ethylene naphthalate) substrates with the least line width of ∼30 nm by electron beam lithography. The absorption resonances can be tuned from middle IR to visible range. The Ag U-shaped SRRs metamaterials exhibit an electric resonance of ∼542 nm and a magnetic resonance of ∼756 nm. Both the electric and magnetic resonance modes show highly sensitive responses to out-of-plane bending strain, surrounding dielectric media, and surface chemical environment. Due ...

231 citations


Journal ArticleDOI
TL;DR: In this paper, a technique for fabricating macroscopic hierarchical superhydrophobic surfaces with both well-defi ned primary microstructures and well-ordered secondary nanostructures using electron-beam lithography is introduced.
Abstract: Recent studies on superhydrophobic surfaces have revealed the important roles of structural hierarchy in the overall properties of these surfaces. Here, a novel, versatile, and effi cient technique is introduced for fabricating macroscopic hierarchical superhydrophobic surfaces with both well-defi ned primary microstructures and well-ordered secondary nanostructures using electron-beam lithography. With this technique, the engineering capability of controlling the size, shape, and distribution of the secondary-features is demonstrated, which allows a systematic and quantitative study of the individual effects of these parameters. Superhydrophobic surfaces produced by this new technique exhibit two distinctive wetting behaviors, high and low adhesion. The structural characteristics and structure-property relations of each of those two regimes are discussed.

158 citations


Journal ArticleDOI
TL;DR: By integrating the diffraction field transmitted by a grating mask over a distance of one Talbot period, one can obtain an effective image that is independent of the absolute distance from the mask, in this way high resolution periodic patterns can be printed without the depth-of-field limitation of Talbot self-images.
Abstract: Periodic micro and nano-structures can be lithographically produced using the Talbot effect. However, the limited depth-of-field of the self-images has effectively prevented its practical use, especially for high-resolution structures with periods less than 1 micrometer. In this article we show that by integrating the diffraction field transmitted by a grating mask over a distance of one Talbot period, one can obtain an effective image that is independent of the absolute distance from the mask. In this way high resolution periodic patterns can be printed without the depth-of-field limitation of Talbot self-images. For one-dimensional patterns the image obtained is shown to be related to the convolution of the mask transmission function with itself. This technique, which we call Displacement Talbot Lithography (DTL), enables high-resolution photolithography without the need for complex and expensive projection optics for the production of periodic structures like diffraction gratings or photonic crystals. Experimental results showing the printing of linear gratings and an array of holes on a hexagonal lattice are presented.

153 citations


Journal ArticleDOI
TL;DR: In this paper, the impact of EBL writing parameters on waveguide performance and writing time was studied, and the authors quantified the improvement for each variation and option, along with the tradeoff in writing time.
Abstract: The authors present a robust process for fabricating passive silicon photonic components by direct-write electron beam lithography (EBL). Using waveguide transmission loss as a metric, we study the impact of EBL writing parameters on waveguide performance and writing time. As expected, write strategies that reduce sidewall roughness improve waveguide loss and yield. In particular, averaging techniques such as overlap or field shift writing reduce loss, however, the biggest improvement comes from writing using the smaller field-size option of our EBL system. The authors quantify the improvement for each variation and option, along with the tradeoff in writing time.

146 citations


Journal ArticleDOI
TL;DR: A ring-resonator modulator based on a silicon-polymer hybrid slot waveguide with a tunability of 12.7 pm/V at RF speeds and a bandwidth of 1 GHz, for optical wavelengths near 1550 nm is demonstrated.
Abstract: We demonstrate a ring-resonator modulator based on a silicon-polymer hybrid slot waveguide with a tunability of 127 pm/V at RF speeds and a bandwidth of 1 GHz, for optical wavelengths near 1550 nm Our slot waveguides were fabricated with 193 nm optical lithography, as opposed to the electron beam lithography used for previous results The tunability is comparable to some of the best ring-based modulators making use of the plasma dispersion effect The speed is likely limited only by resistance in the strip-loading section, and it should be possible to realize significant improvement with improved processing

130 citations


Journal ArticleDOI
TL;DR: The results demonstrate the high quality of the junction obtained by this bridge-free technique, and the phase qubit coherence times, extracted from spectroscopy resonance width, Rabi and Ramsey oscillation decays and energy relaxation measurements, are longer than the ones obtained by standard techniques.
Abstract: We present a novel shadow evaporation technique for the realization of junctions and capacitors. The design by e-beam lithography of strongly asymmetric undercuts on a bilayer resist enables in situ fabrication of junctions and capacitors without the use of the well-known suspended bridge (Dolan 1977 Appl. Phys. Lett. 31 337-9). The absence of bridges increases the mechanical robustness of the resist mask as well as the accessible range of the junction size, from 10(-2) µm(2) to more than 10(4) µm(2). We have fabricated Al/AlO(x)/Al Josephson junctions, phase qubit and capacitors using a 100 kV e-beam writer. Although this high voltage enables a precise control of the undercut, implementation using a conventional 20 kV e-beam is also discussed. The phase qubit coherence times, extracted from spectroscopy resonance width, Rabi and Ramsey oscillation decays and energy relaxation measurements, are longer than the ones obtained in our previous samples realized by standard techniques. These results demonstrate the high quality of the junction obtained by this bridge-free technique.

116 citations


Journal ArticleDOI
TL;DR: In this paper, the defects were produced in parallel by ion implantation through 80nm diameter apertures patterned using electron beam lithography in a polymethyl methacrylate (PMMA) layer deposited on a diamond surface.
Abstract: We report a versatile method for engineering arrays of nitrogen-vacancy (NV) color centers in diamond at the nanoscale. The defects were produced in parallel by ion implantation through 80 nm diameter apertures patterned using electron beam lithography in a polymethyl methacrylate (PMMA) layer deposited on a diamond surface. The implantation was performed with CN− molecules that increased the NV defect-formation yield. This method could enable the realization of a solid-state coupled-spin array and could be used for positioning an optically active NV center on a photonic microstructure.

98 citations


Journal ArticleDOI
TL;DR: The fabrication of high-Q polymeric microdisks on silicon via direct laser writing utilizing two-photon absorption induced polymerization using flexible three-dimensional lithography method, useful for rapid prototyping of active and passive optical microcavities.
Abstract: We report the fabrication of high-Q polymeric microdisks on silicon via direct laser writing utilizing two-photon absorption induced polymerization. The quality factors of the passive cavities are above 106 in the 1300 nm wavelength region. The flexible three-dimensional (3D) lithography method allows for the fabrication of different cavity thicknesses on the same substrate, useful for rapid prototyping of active and passive optical microcavities. Microdisk lasers are realized by doping the resist with dye, resulting in laser emission at visible wavelengths.

97 citations


Journal ArticleDOI
TL;DR: It is shown that the waveguided emission associated with a single tensile-strained germanium wire increases superlinearly as a function of the illuminated length, and a 20% decrease of the spectral broadening is observed as the pump intensity is increased.
Abstract: We have investigated the optical properties of tensile-strained germanium photonic wires. The photonic wires patterned by electron beam lithography (50 μm long, 1 μm wide and 500 nm thick) are obtained by growing a n-doped germanium film on a GaAs substrate. Tensile strain is transferred in the germanium layer using a Si₃N₄ stressor. Tensile strain around 0.4% achieved by the technique corresponds to an optical recombination of tensile-strained germanium involving light hole band around 1690 nm at room temperature. We show that the waveguided emission associated with a single tensile-strained germanium wire increases superlinearly as a function of the illuminated length. A 20% decrease of the spectral broadening is observed as the pump intensity is increased. All these features are signatures of optical gain. A 80 cm⁻¹ modal optical gain is derived from the variable strip length method. This value is accounted for by the calculated gain material value using a 30 band k · p formalism. These germanium wires represent potential building blocks for integration of nanoscale optical sources on silicon.

Journal ArticleDOI
13 Jul 2011-ACS Nano
TL;DR: This paper shows that nanoplasmonic necklaces support two hybridized dipolar scattering resonances with polarization-controlled subwavelength localized fields (i.e., electromagnetic hot-spots), whose intensities are optimized by varying the necklace particle diameter and the particle number.
Abstract: In this paper, by combining three-dimensional finite-difference time-domain simulations, dark-field scattering analysis, and surface-enhanced Raman spectroscopy (SERS) we systematically investigate...

Journal ArticleDOI
TL;DR: In this article, a shadow evaporation technique for the realization of junctions and capacitors is presented, where the design by E-beam lithography of strongly asymmetric undercuts on a bilayer resist enables in-situ fabrication of junction without the use of the well-known suspended bridge.
Abstract: We present a novel shadow evaporation technique for the realization of junctions and capacitors. The design by E-beam lithography of strongly asymmetric undercuts on a bilayer resist enables in-situ fabrication of junctions and capacitors without the use of the well-known suspended bridge[1]. The absence of bridges increases the mechanical robustness of the resist mask as well as the accessible range of the junction size, from 0.01 to more than 10000 micron square. We have fabricated Al/AlOx/Al Josephson junctions, phase qubit and capacitors using a 100kV E- beam writer. Although this high voltage enables a precise control of the undercut, implementation using a conventional 20kV E-beam is also discussed. The phase qubit coherence times, extracted from spectroscopy resonance width, Rabi and Ramsey oscillations decay and energy relaxation measurements, are longer than the ones obtained in our previous samples realized by standard techniques. These results demonstrate the high quality of the junction obtained by this controlled undercut technique.

Journal ArticleDOI
TL;DR: Low-loss silicon slot waveguides patterned with 248 nm deep-UV lithography and filled with atomic layer deposited aluminum oxide and robust couplers facilitate a 50-fold local change of the waveguide nonlinearity within the chip by a simple mask design.
Abstract: We demonstrate low-loss silicon slot waveguides patterned with 248 nm deep-UV lithography and filled with atomic layer deposited aluminum oxide. Propagation losses less than 5 dB/cm are achieved with the waveguides. The devices are fabricated using low-temperature CMOS compatible processes. We also demonstrate simple, compact and efficient strip-to-slot waveguide couplers. With a coupler as short as 10 µm, coupling loss is less than 0.15 dB. The low-index and low-nonlinearity filling material allows nonlinearities nearly two orders of magnitude smaller than in silicon waveguides. Therefore, these waveguides are a good candidate for linear photonic devices on the silicon platform, and for distortion-free signal transmission channels between different parts of a silicon all-optical chip. The low-nonlinearity slot waveguides and robust couplers also facilitate a 50-fold local change of the waveguide nonlinearity within the chip by a simple mask design.

Proceedings ArticleDOI
TL;DR: In this article, a metal oxide patternable hardmask was designed for EUV lithography, which is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon).
Abstract: This paper describes a metal oxide patternable hardmask designed for EUV lithography. The material has imaged 15-nm half-pitch by projection EUV exposure on the SEMATECH Berkeley MET, and 12-nm half-pitch by electron beam exposure. The platform is highly absorbing (16 μm-1) and etch resistant (>100:1 for silicon). These properties enable resist film thickness to be reduced to 20nm, thereby reducing aspect ratio and susceptibility to pattern collapse. New materials and processes show a path to improved photospeed. This paper also presents data for on coating uniformity, metal-impurity content, outgassing, pattern transfer, and resist strip.

Journal ArticleDOI
TL;DR: Fresnel zone plates (450 nm thick Au, 25 nm outermost zone width) used as objective lenses in a full field transmission reached a spatial resolution better than 20 nm and 1.5% efficiency with 8 keV photons.
Abstract: Fresnel zone plates (450 nm thick Au, 25 nm outermost zone width) used as objective lenses in a full field transmission reached a spatial resolution better than 20 nm and 1.5% efficiency with 8 keV photons. Zernike phase contrast was also realized without compromising the resolution. These are very significant achievements in the rapid progress of high-aspect-ratio zone plate fabrication by combined electron beam lithography and electrodeposition.

Journal ArticleDOI
TL;DR: Polymer-bound PAG resists have shown that the principal demerit of acid diffusion can be overcome through attachment of the PAG anion to the lithographic polymer as mentioned in this paper, which has been enough progress in resolution and sensitivity to justify the use of these materials.
Abstract: We discuss the future of resist materials for sub-20-nm lithography and believe that polymer-bound PAG-based resists will be used to 16-nm node. There has been enough progress in resolution and sensitivity to justify the use of these materials. Polymer-bound PAG resists have shown that the principal demerit of acid diffusion can be overcome through attachment of the PAG anion to the lithographic polymer. Since the introduction of this chemically amplified resist approach, we have seen steady improvement in resolution, sensitivity, and LWR. We have also seen improvement in OOB response, outgassing, and pattern collapse. There is no doubt that continuous improvement is still required for these resist systems. We believe that increasing the overall resist quantum yield for acid generation substantially improves the shot-noise problem thereby leading to faster high-resolution resist materials. Using a 0.30-NA extreme ultraviolet tool with dipole, we can achieve 22-nm hp resolution, with a 12-mJ dose and a 4.2-nm LWR.

Journal ArticleDOI
TL;DR: A narrow optical resonance bandwidth characteristic of a nano-hole array with an etched adhesion layer can potentially enhance the spectral selectivity and offer improved optical performance.
Abstract: In this paper, we present a systematic study on the influence of composition of the adhesion layer between gold and a Pyrex substrate on the optical resonance transmission properties of nano-hole arrays in an optically thick gold film. Large nano-hole arrays with different hole periodicities in a square lattice arrangement were fabricated using Electron Beam Lithography using different adhesion layers (chromium, titanium, or etched adhesion layer). The fabricated nano-hole arrays were optically characterized using transmission spectroscopy. The optical performance of each nano-hole array was numerically simulated using a Finite Difference Time Domain (FDTD) method. The experiments and simulations revealed that the optical resonance transmission properties (i.e. the resonance wavelength, the spectral transmission modulation ratio, and the resonance bandwidth) of the nano-hole arrays depended highly on the composition and the thickness of the adhesion layer. The optical resonance bandwidths were larger for the nano-hole arrays with chromium or titanium adhesion layers. Also, a red-shift of the optical resonance peak was observed for nano-hole arrays with a metal adhesion layer compared to the corresponding nano-hole arrays with an etched adhesion layer, but the red-shift was greatest for the nano-hole array with the titanium adhesion layer. For adhesion layers of greater thickness, the optical resonance peaks were reduced in magnitude. Finally, nano-hole arrays with an etched adhesion layer had a significant blue-shift in the optical resonance peak and a narrower optical resonance bandwidth compared to nano-hole arrays with a titanium or a chromium adhesion layer. Consequently, a narrow optical resonance bandwidth characteristic of a nano-hole array with an etched adhesion layer can potentially enhance the spectral selectivity and offer improved optical performance.

Journal ArticleDOI
TL;DR: In this article, a tungsten-hardmask-based diamond dry-etch process was proposed for fabricating diamond zone plate lenses with a high aspect ratio. But the results were limited to a scanning microscope arrangement showing sub-100nm resolution.
Abstract: The authors report on a new tungsten-hardmask-based diamond dry-etch process for fabricating diamond zone plate lenses with a high aspect ratio. The tungsten hardmask is structured by electron-beam lithography, together with Cl2/O2 and SF6/O2 reactive ion etching in a trilayer resist-chromium-tungsten stack. The underlying diamond is then etched in an O2 plasma. The authors demonstrate excellent-quality diamond gratings with half-pitch down to 80 nm and a height of 2.6 μm, as well as zone plates with a 75 μm diameter and 100 nm outermost zone width. The diffraction efficiency of the zone plates is measured to 14.5% at an 8 keV x-ray energy, and the imaging properties were investigated in a scanning microscope arrangement showing sub-100-nm resolution. The imaging and thermal properties of these lenses make them suitable for use with high-brightness x-ray free-electron laser sources.

Journal ArticleDOI
TL;DR: In this article, a narrow spectral linewidth from single site-controlled In(Ga)As quantum dots (QDs) grown on nanoholes, which were defined by electron beam lithography on a (100) GaAs substrate, was presented.
Abstract: We present narrow spectral linewidth from single site-controlled In(Ga)As quantum dots (QDs) grown on nanoholes, which were defined by electron beam lithography on a (100) GaAs substrate. The long-range ordering of uncapped QDs is confirmed by electron microscopy whereas the ordering of capped QDs is visualized by atomic force microscopy. We find a small inhomogeneous broadening of 14.4 meV for the ensemble emission of site-controlled QDs with 300 nm lattice period. The photoluminescence from the excitonic transitions of single site-controlled QDs exhibits linewidth values down to 43 μeV, which is promising for the investigation of pronounced cavity quantum electrodynamic effects in scalable QD-microresonator systems.

Journal ArticleDOI
TL;DR: Polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life and with a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern.
Abstract: We studied the exposure behavior of low molecular weight polystyrene as a negative tone electron beam lithography (EBL) resist, with the goal of finding the ultimate achievable resolution. It demonstrated fairly well-defined patterning of a 20-nm period line array and a 15-nm period dot array, which are the densest patterns ever achieved using organic EBL resists. Such dense patterns can be achieved both at 20 and 5 keV beam energies using different developers. In addition to its ultra-high resolution capability, polystyrene is a simple and low-cost resist with easy process control and practically unlimited shelf life. It is also considerably more resistant to dry etching than PMMA. With a low sensitivity, it would find applications where negative resist is desired and throughput is not a major concern.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate electron-beam-induced deposition of few-nm-width dense features on bulk samples by using a scanning electronbeam lithography system. And they demonstrate that three steps were taken: (1) features were exposed in a repetitive sequence, so as to build up the deposited features gradually across the entire pattern, and thus avoid proximity effects; (2) an additional delay was added between exposures to permit diffusion of reactants into the exposed area; and (3) the exposures were phase-synchronized to the dominant noise source (the 50
Abstract: This paper demonstrates electron-beam-induced deposition of few-nm-width dense features on bulk samples by using a scanning electron-beam lithography system. To optimize the resultant features, three steps were taken: (1) features were exposed in a repetitive sequence, so as to build up the deposited features gradually across the entire pattern, and thus avoid proximity effects; (2) an additional delay was added between exposures to permit diffusion of reactants into the exposed area; and (3) the exposures were phase-synchronized to the dominant noise source (the 50-Hz line voltage) to minimize the effect of noise. The reasons these steps led to significant improvements in patterning resolution are discussed.

Journal ArticleDOI
TL;DR: In this paper, the authors report a thorough experimental comparison of the performance of PMMA 950k and ZEP 520A resists in MIBK:IPA, ZED, and IPA:water developers.
Abstract: A modern alternative to the positive-tone PMMA resist is the ZEP 520A (Nippon Zeon) brand co-polymer resist, which offers a higher sensitivity and etch durability for electron beam lithography. However, the molecular mechanisms are not entirely understood, and the relative performance of two resists for various process conditions of nanofabrication is not readily predictable. The authors report a thorough experimental comparison of the performance of PMMA 950k and ZEP 520A resists in MIBK:IPA, ZED, and IPA:water developers. Interestingly, ZEP resist performance was found to depend significantly on the developer. ZED developer increases the sensitivity, whereas IPA:water optimizes line edge roughness and conceivably the resolution at the expense of sensitivity. The authors also describe two alternative numerical models, one assuming an enhancement of the main chain scission in ZEP as a result of electronic excitations in side groups, and another without such enhancement. In the second case, the differences in ZEP and PMMA resists performance are attributed to their different interaction with the developers. Using both approaches, the authors parameterize the respective models of ZEP development by fitting numerical results to the experimental resist morphologies, and analyze the outcomes.

Journal ArticleDOI
TL;DR: In this article, a fully reconfigurable optical filter built by cascading four identical unit cells is presented, which is fabricated using two distinct methods: a complementary metal-oxide-semiconductor compatible process utilizes deep ultraviolet (DUV) lithography with tuning elements defined by ion implantation to make lateral p-i-n diodes for current injection regions, while an electron beam (E-beam) process uses nickel chrome (NiCr) heaters as tuning elements.
Abstract: We present a fully reconfigurable optical filter built by cascading four identical unit cells. The devices are fabricated using two distinct methods: a complementary metal-oxide-semiconductor (CMOS) compatible process utilizes deep ultraviolet (DUV) lithography with tuning elements defined by ion implantation to make lateral p-i-n diodes for current injection regions, while an electron beam (E-beam) lithography process uses nickel chrome (NiCr) heaters as tuning elements. The fabricated devices are characterized using swept optical vector network analyzer (OVNA) coherent measurements.

Journal ArticleDOI
TL;DR: In this article, the impact of various ZnO templates on the alignment and geometry of vertical nanowire (NW) arrays was investigated, and it was shown that the alignment, crystal structure, and geometry were dictated by the crystal structure of the underlying ZNO, while the influence of surface roughness was negligible.
Abstract: The impact of various ZnO templates on the alignment and geometry of wet-chemically grown vertical ZnO nanowire (NW) arrays was investigated. The NWs were seeded at nucleation windows which were patterned in poly(methyl methacrylate)-coated ZnO surfaces using electron beam lithography. This growth approach was shown to have the potential for low-cost and low-temperature fabrication of regular, highly aligned, and transparent NW arrays with tunable conductivities on cheap substrates with precise engineering of the NW dimensions and positioning. The compared ZnO templates included a single crystal ZnO substrate, an epitaxial film on a c-sapphire substrate, and polycrystalline films on Si(111), Si(100), and Pt/c-sapphire. Scanning electron microscopy and X-ray diffraction revealed that the alignment, crystal structure, and geometry of the NW arrays were dictated by the crystal structure of the underlying ZnO, while the influence of the surface roughness was negligible. Thus, the choice of seed layer crystall...

Journal ArticleDOI
TL;DR: In this paper, the optical properties of patterned quantum dot (QD) nanostructures were obtained by direct electron beam lithography on QD films and time resolved photoluminescence measurements showed that optical properties were retained after cross-linking.
Abstract: Patterned quantum dot (QD) nanostructures were prepared by direct electron beam lithography on QD films. Time resolved photoluminescence measurements show that the optical properties of these QDs were retained after cross-linking.

Journal ArticleDOI
TL;DR: The focal spots produced by the nano-SILs show both spot-size reduction and enhanced optical intensity, which are consistent with the immersion effect.
Abstract: We report on the fabrication and characterization of nanoscale solid immersion lenses (nano-SILs) with sizes down to a subwavelength range. Submicrometer-scale cylinders fabricated by electron-beam lithography are thermally reflowed to form a spherical shape. Subsequent soft lithography leads to nano-SILs on transparent substrates for optical characterization. The optical characterization is performed using a high-resolution interference microscope with illumination at 642 nm wavelength. The focal spots produced by the nano-SILs show both spot-size reduction and enhanced optical intensity, which are consistent with the immersion effect.

Journal ArticleDOI
TL;DR: An effective multistep process toward fabrication of an iridium wire grid polarizer for UV applications involving a frequency doubling process based on ultrafast electron beam lithography and atomic layer deposition is presented.
Abstract: In this work, an effective multistep process toward fabrication of an iridium wire grid polarizer for UV applications involving a frequency doubling process based on ultrafast electron beam lithography and atomic layer deposition is presented. The choice of iridium as grating material is based on its good optical properties and a superior oxidation resistance. Furthermore, atomic layer deposition of iridium allows a precise adjustment of the structural parameters of the grating much better than other deposition techniques like sputtering for example. At the target wavelength of 250 nm, a transmission of about 45% and an extinction ratio of 87 are achieved.

Journal ArticleDOI
TL;DR: In this paper, a large area array of MOM tunneling diodes with an ultrathin dielectric ( ~ 3.6-nm aluminum oxide) has also been fabricated via the transfer-printing process.
Abstract: Infrared (IR) detectors have been fabricated consisting of antenna-coupled metal-oxide-metal diodes (ACMOMDs). These detectors were defined using electron beam lithography with shadow evaporation metal deposition. They are designed to be sensitive to the IR range and work at room temperature without cooling or biasing. In order to achieve large arrays of ACMOMDs, nanotransfer printing have been used to cover a large area with metal-oxide-metal (MOM) diodes and with antenna structures. The printed antenna structures consist of gold and aluminum and exhibit a low electrical resistivity. A large area array of MOM tunneling diodes with an ultrathin dielectric ( ~ 3.6-nm aluminum oxide) has also been fabricated via the transfer-printing process. The MOM diodes exhibit excellent tunneling characteristics. Both direct and Fowler-Nordheim tunneling has been observed over eight orders of magnitude in current density. Static device parameters have been extracted via kinetic Monte Carlo simulations and have confirmed the existence of a dipole layer at the aluminum/aluminum oxide interface of the printed tunneling diodes. The mechanical yield of the transfer-printing process for the MOM tunneling diodes is almost a 100%, confirming that transfer printing is suitable for large area effective fabrication of these quantum devices.

Journal ArticleDOI
TL;DR: It is shown that chemical enhancement of titania removal up to 5.2 times is possible in XeF2 gas for the closest nozzle-to-sample distance; the enhancement was ∼ 1.5 times for the actual 3D patterning due to a sample tilt.
Abstract: Three dimensional (3D) ion beam lithography (IBL) is used to directly pattern 3D photonic crystal (PhC) structures in crystalline titania. The process is maskless and direct write. The slanted pore 3D structures with pore diameters of 100 nm having aspect ratio of 8 were formed. It is shown that chemical enhancement of titania removal up to 5.2 times is possible in XeF2 gas for the closest nozzle-to-sample distance; the enhancement was ∼ 1.5 times for the actual 3D patterning due to a sample tilt. Tolerances of structural parameters and optimization of IBL processing required for the fabrication of PhCs with full photonic bandgap in visible spectral range in rutile are outlined. Application potential of 3D-IBL is discussed.