scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2013"


Journal ArticleDOI
TL;DR: This result has paved the way towards portable three-dimensional maskless laser direct writing with resolution fully comparable to electron beam lithography with dependence of the feature size and the two-line resolution in a newly developed two-photon absorption resin with high mechanical strength.
Abstract: The current nanofabrication techniques including electron beam lithography provide fabrication resolution in the nanometre range. The major limitation of these techniques is their incapability of arbitrary three-dimensional nanofabrication. This has stimulated the rapid development of far-field three-dimensional optical beam lithography where a laser beam is focused for maskless direct writing. However, the diffraction nature of light is a barrier for achieving nanometre feature and resolution in optical beam lithography. Here we report on three-dimensional optical beam lithography with 9 nm feature size and 52 nm two-line resolution in a newly developed two-photon absorption resin with high mechanical strength. The revealed dependence of the feature size and the two-line resolution confirms that they can reach deep sub-diffraction scale but are limited by the mechanical strength of the new resin. Our result has paved the way towards portable three-dimensional maskless laser direct writing with resolution fully comparable to electron beam lithography.

420 citations


Journal ArticleDOI
TL;DR: This work investigated electron-beam lithography with an aberration-corrected scanning transmission electron microscope and achieved 2 nm isolated feature size and 5 nm half-pitch in hydrogen silsesquioxane resist.
Abstract: We investigated electron-beam lithography with an aberration-corrected scanning transmission electron microscope. We achieved 2 nm isolated feature size and 5 nm half-pitch in hydrogen silsesquioxane resist. We also analyzed the resolution limits of this technique by measuring the point-spread function at 200 keV. Furthermore, we measured the energy loss in the resist using electron-energy-loss spectroscopy.

381 citations


Journal ArticleDOI
TL;DR: A novel approach for CMOS-compatible passively temperature insensitive silicon based optical devices using titanium oxide cladding which has a negative thermo-optic (TO) effect is described.
Abstract: We describe a novel approach for CMOS-compatible passively temperature insensitive silicon based optical devices using titanium oxide cladding which has a negative thermo-optic (TO) effect. We engineer the mode confinement in Si and TiO2 such that positive TO of Si is exactly cancelled out by negative TO of TiO2. We demonstrate robust operation of the resulting device over 35 degrees.

155 citations


Journal ArticleDOI
11 Jan 2013-Sensors
TL;DR: In this study, electron beam lithography, rather than the most popular method, chemical synthesis, is used to construct periodical TiO2 nanowires for a gas sensor with both robust and rapid performance.
Abstract: In this study, electron beam lithography, rather than the most popular method, chemical synthesis, is used to construct periodical TiO2 nanowires for a gas sensor with both robust and rapid performance The effects of temperature on the sensing response and reaction time are analyzed at various operation temperatures ranging from 200 to 350 °C At the optimized temperature of 300 °C, the proposed sensor repeatedly obtained a rise/recovery time (ΔR: 09 R0 to 01 R0) of 32/175 s and a corresponding sensor response (ΔR/R0) of 217% at an ethanol injection mass quantity of 02 μg

108 citations


Journal ArticleDOI
TL;DR: In this paper, a deterministic fabrication of sub-μm mesa-structures containing single quantum dots (QDs) by in situ electron-beam lithography was reported.
Abstract: We report on the deterministic fabrication of sub-μm mesa-structures containing single quantum dots (QDs) by in situ electron-beam lithography. The fabrication method is based on a two-step lithography process: After detecting the position and spectral features of single InGaAs QDs by cathodoluminescence (CL) spectroscopy, circular sub-μm mesa-structures are defined by high-resolution electron-beam lithography and subsequent etching. Micro-photoluminescence spectroscopy demonstrates the high optical quality of the single-QD mesa-structures with emission linewidths below 15 μeV and g(2)(0) = 0.04. Our lithography method has an alignment precision better than 100 nm which paves the way for a fully deterministic device technology using in situ CL lithography.

102 citations


Journal ArticleDOI
TL;DR: In this article, a master pattern generation strategy for bit patterned media (BPM) with rectangular islands is shown using intersecting lines generated by directed self-assembly of lamellar block copolymers in combination with spacer-defined line doubling.
Abstract: Bit patterned media (BPM) provide an alternative to conventional granular thin film recording media, circumventing the challenges of managing grain size and its associated noise and thermal stability issues in granular media. A viable fabrication strategy involves creation of a master pattern by rotary-stage e-beam lithography and directed self-assembly of block copolymers, followed by pattern replication via UV-cure nanoimprint lithography and pattern transfer to a magnetic thin film by ion beam etching. These steps have been demonstrated for 150 Gdot/cm2 (1 Tdot/in2) hcp patterns, achieving a dot placement tolerance of 1.2 nm 1σ and a defect rate of ; 1. A master pattern generation generation strategy for BAR>; 1 with rectangular islands is shown using intersecting lines generated by directed self-assembly of lamellar block copolymers in combination with spacer-defined line doubling.

83 citations


Journal ArticleDOI
TL;DR: In this paper, a deterministic fabrication of sub-um mesa structures containing single quantum dots by in-situ electron-beam lithography was reported. But the fabrication method is based on a two-step lithography process using a low-temperature cathodoluminescence (CL) spectroscopy setup.
Abstract: We report on the deterministic fabrication of sub-um mesa structures containing single quantum dots by in-situ electron-beam lithography. The fabrication method is based on a two-step lithography process using a low-temperature cathodoluminescence (CL) spectroscopy setup. In the first step the position and spectral features of single InGaAs quantum dots (QDs) are detected by CL. Then circular sub-um mesa-structures are exactly defined by high-resolution electron-beam lithography and subsequent etching in the second step. CL spectroscopy and micro-photoluminscence spectroscopy demonstrate the high optical quality of the single-QD mesa-structures with emission linewidths below 15 ueV and g(2)(0) = 0.04. Our lithography method allows for an alignment precision better than 100 nm which paves the way for a fully-deterministic device technology using in-situ CL lithography.

78 citations


Journal ArticleDOI
TL;DR: A reliable fabrication method is demonstrated to produce plasmonic dipole nanoantennas with gap values in the range of 3.5-20 nm and a reproducibility within 1 nm, in agreement with finite element simulations.
Abstract: We demonstrate a reliable fabrication method to produce plasmonic dipole nanoantennas with gap values in the range of 3.5–20 nm. The method combines electron beam lithography to create gold nanorods and helium focused ion beam milling to cut the gaps. Results show a reproducibility within 1 nm. Scattering spectra of antennas show a red shift of resonance wavelengths and an increase of the intensity of resonance peaks with a decrease of the gap size, which is in agreement with finite element simulations. The measured refractive index sensitivity was about 250 nm per refractive index unit for antennas with gap values below 5 nm.

77 citations


Journal ArticleDOI
TL;DR: The demonstrated process capabilities in terms of feature density and line-edge roughness are in accordance with today's requirements for maskless lithography, for example for the fabrication of extreme ultraviolet (EUV) masks.
Abstract: Thermal scanning probe lithography is used for creating lithographic patterns with 27.5 nm half-pitch line density in a 50 nm thick high carbon content organic resist on a Si substrate. The as-written patterns in the poly phthaladehyde thermal resist layer have a depth of 8 nm, and they are transformed into high-aspect ratio binary patterns in the high carbon content resist using a SiO2 hard-mask layer with a thickness of merely 4 nm and a sequence of selective reactive ion etching steps. Using this process, a line-edge roughness after transfer of 2.7 nm (3σ) has been achieved. The patterns have also been transferred into 50 nm deep structures in the Si substrate with excellent conformal accuracy. The demonstrated process capabilities in terms of feature density and line-edge roughness are in accordance with today’s requirements for maskless lithography, for example for the fabrication of extreme ultraviolet (EUV) masks.

70 citations


Journal ArticleDOI
TL;DR: In this paper, the transport properties of mesoscopic devices based on the two dimensional electron gas (2DEG) present at the LaAlO$3$/SrTiO$_3$ interface were described.
Abstract: We describe the transport properties of mesoscopic devices based on the two dimensional electron gas (2DEG) present at the LaAlO$_3$/SrTiO$_3$ interface. Bridges with lateral dimensions down to 500~nm were realized using electron beam lithography. Their detailed characterization shows that processing and confinement do not alter the transport parameters of the 2DEG. The devices exhibit superconducting behavior tunable by electric field effect. In the normal state, we measured universal conductance fluctuations, signature of phase-coherent transport in small structures. The achievement of reliable lateral confinement of the 2DEG opens the way to the realization of quantum electronic devices at the LaAlO$_3$/SrTiO$_3$ interface.

69 citations


Journal ArticleDOI
TL;DR: In this article, resist patterns were analyzed using a Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists and the contribution of protected unit fluctuation to line edge roughness was estimated to be ±0.31 to ± 0.37σ.
Abstract: Extreme ultraviolet (EUV) lithography is promising for the high-volume production of semiconductor devices for the 16 nm node and below. However, the stochastic effect is a significant concern in lithography using high-energy (92.5 eV) photons and highly sensitive resists. In this study, we report a technique for evaluating the stochastic effect on line edge roughness (LER). Resist patterns were analyzed using a Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. The contribution of protected unit fluctuation to LER was estimated to be ±0.31 to ±0.37σ.

Journal ArticleDOI
TL;DR: In this article, a self-supported photonic structure consisting in freestanding waveguides coupled to photonic crystal waveguiders and cavities operating in the near-infrared was reported.
Abstract: We report on GaN self-supported photonic structures consisting in freestanding waveguides coupled to photonic crystal waveguides and cavities operating in the near-infrared. GaN layers were grown on Si (111) by metal organic vapor phase epitaxy. E-beam lithography and dry etching techniques were employed to pattern the GaN layer and undercut the substrate. The combination of low-absorption in the infrared range and improved etching profiles results in cavities with quality factors as high as ∼5400. The compatibility with standard Si technology should enable the development of low cost photonic devices for optical communications combining wide-bandgap III-nitride semiconductors and silicon.

Journal ArticleDOI
23 Apr 2013-ACS Nano
TL;DR: Research reported in this work paves the way for producing QD microarrays with multiplexed functionalities relevant for applications in analyte sensing and cellular dynamics.
Abstract: Quantum dot (QD) based micro-/nanopatterned arrays are of broad interest in applications ranging from electronics, photonics, to sensor devices for biomedical purposes. Here, we report on a rapid, physico-chemically mild approach to generate high fidelity micropattern arrays of prefunctionalized water-soluble quantum dots using electron beam lithography. We show that such patterns retain their fluorescence and bioaffinity upon electron beam lithography and, based on the streptavidin-biotin interaction, allow for detection of proteins, colloidal gold nanoparticles and magnetic microparticles. Furthermore, we demonstrate the applicability of QD based microarray patterns differing in their shape (circles, squares, grid-like), size (from 1 to 10 μm) and pitch distance to study the adhesion, spreading and migration of human blood derived neutrophils. Using live cell confocal fluorescence microscopy, we show that pattern geometry and pitch distance influence the adhesion, spreading and migratory behavior of neutrophils. Research reported in this work paves the way for producing QD microarrays with multiplexed functionalities relevant for applications in analyte sensing and cellular dynamics.

Journal ArticleDOI
TL;DR: In this paper, a beam of nitrogen ions can be focused to approximately 100nm using focused ion beam (FIB) technology, which is suitable for the creation of optical centres in the cavities of photonic crystals or in diamond tips for scanning magnetometry.
Abstract: The creation of nitrogen-vacancy (NV) centres in diamond is nowadays well controlled using nitrogen implantation and annealing. Although the high-resolution placement of NV centres has been demonstrated using either collimation through pierced tips of an atomic force microscope (AFM) or masks with apertures made by electron beam lithography, a targeted implantation into pre-defined structures in diamond may not be achieved using these techniques. We show that a beam of nitrogen ions can be focused to approximately 100 nm using focused ion beam (FIB) technology. The nitrogen ion beam is produced using an electron cyclotron resonance (ECR) plasma source. Combined with a scanning electron microscope, the nitrogen-FIB offers new possibilities for the targeted creation of single defects in diamond. This maskless technology is suitable for example for the creation of optical centres in the cavities of photonic crystals or in diamond tips for scanning magnetometry.

Journal ArticleDOI
TL;DR: In this article, it was shown that only two to three secondary electrons are made per absorbed EUV photon, and only two or three photoacids can be generated per incident photon.
Abstract: Secondary electrons play critical roles in several imaging technologies, including extreme ultraviolet (EUV) lithography. At longer wavelengths of light (e.g. 193 and 248 nm), the photons are directly involved in the photochemistry occurring during photolysis. EUV light (13.5 nm, 92 eV), however, first creates a photoelectron, and this electron, or its subsequent daughter electrons create most of the chemical changes that occur during exposure. Despite the importance of these electrons, the details surrounding the chemical events leading to acid production remain poorly understood. Previously reported experimental results using high PAG-loaded resists have demonstrated that up to five or six photoacids can be generated per incident photon. Until recently, only electron recombination events were thought to play a role in acid generation, requiring that at least as many secondary electrons are produced to yield a given number of acid molecules. However, the initial results we have obtained using a Monte Carlo-based modeling program, LESiS, demonstrate that only two to three secondary electrons are made per absorbed EUV photon. A more comprehensive understanding of EUV-induced acid generation is therefore needed for the development of higher performance resists

Journal ArticleDOI
TL;DR: In this paper, the authors demonstrate that the conducting LaAlO3/StO interface can be made insulating after short irradiation by a beam of low energy Ar+ ions, which does not result in physical removal of the LAO film nor produces oxygen vacancies in the STO layer.
Abstract: The quasi-two dimensional electron gas formed at the interface between LaAlO3 (LAO) and SrTiO3 (STO) shows fascinating properties, such as two-dimensional superconductivity, giant electric field effect, and the possible co-existence of ferromagnetic and superconducting phases. In this work, we demonstrate that the conducting LAO/STO interface can be made insulating after short irradiation by a beam of low energy Ar+ ions. The irradiation process does neither result in physical removal of the LAO film nor produces oxygen vacancies in the STO layer. Using electron beam lithography and low ion beam energy irradiation, we fabricated conducting nano-structures in the LAO/STO interface with dimensions down to 50 nm. Such a reliable and robust method of nano-patterning may be a prerequisite for future electronic applications of the LAO/STO interface.

Journal ArticleDOI
TL;DR: This work fabricates dielectric-loaded plasmonic structures with a graded refractive index by negative-tone gray-scale electron beam lithography and shows that the bending of an Airy surface plAsmon beam can be fully reversed by the potential.
Abstract: We demonstrate experimentally the manipulation of Airy surface plasmon beams in a linear potential. For this purpose, we fabricate dielectric-loaded plasmonic structures with a graded refractive index by negative-tone gray-scale electron beam lithography. Using such carefully engineered potentials, we show that the bending of an Airy surface plasmon beam can be fully reversed by the potential.

Journal ArticleDOI
07 Nov 2013-ACS Nano
TL;DR: This work demonstrates an alternative method that allows the direct, simple, and fast fabrication of FZPs using focused Ga(+) beam lithography practically, in a single step and is expected to increase the accessibility of high-resolution optics to a wider community of researchers working on soft X-ray and extreme ultraviolet microscopy using synchrotron radiation and advanced laboratory sources.
Abstract: A significant challenge to the wide utilization of X-ray microscopy lies in the difficulty in fabricating adequate high-resolution optics. To date, electron beam lithography has been the dominant technique for the fabrication of diffractive focusing optics called Fresnel zone plates (FZP), even though this preparation method is usually very complicated and is composed of many fabrication steps. In this work, we demonstrate an alternative method that allows the direct, simple, and fast fabrication of FZPs using focused Ga+ beam lithography practically, in a single step. This method enabled us to prepare a high-resolution FZP in less than 13 min. The performance of the FZP was evaluated in a scanning transmission soft X-ray microscope where nanostructures as small as sub-29 nm in width were clearly resolved, with an ultimate cutoff resolution of 24.25 nm, demonstrating the highest first-order resolution for any FZP fabricated by the ion beam lithography technique. This rapid and simple fabrication scheme il...

Journal ArticleDOI
TL;DR: In this paper, a combination of electron beam lithography (EBL) with the outstanding capabilities of closed-loop electric field current-controlled scanning probe nanolithography (SPL) is demonstrated.
Abstract: Going “beyond the CMOS information-processing era,” taking advantage of quantum effects occurring at sub-10-nm level, requires novel device concepts and associated fabrication technologies able to produce promising features at acceptable cost levels. Herein, the challenge affecting the lithographic technologies comprises the marriage of down-scaling the device-relevant feature size towards single-nanometer resolution with a simultaneous increase of the throughput capabilities. Mix-and-match lithographic strategies are one promising path to break through this trade-off. Proof-of-concept combining electron beam lithography (EBL) with the outstanding capabilities of closed-loop electric field current-controlled scanning probe nanolithography (SPL) is demonstrated. This combination, whereby also extreme ultraviolet lithography (EUVL) is possible instead of EBL, enables more: improved patterning resolution and reproducibility in combination with excellent overlay and placement accuracy. Furthermore, the symbiosis between EBL (EUVL) and SPL expands the process window of EBL (EUVL) beyond the state of the art, allowing SPL-based pre- and post-patterning of EBL (EUVL) written features at critical dimension levels with scanning probe microscopy-based pattern overlay alignment capability. Moreover, we are able to modify the EBL (EUVL) pattern even after the development step. The ultra-high resolution mix-and-match lithography experiments are performed on the molecular glass resist calixarene using a Gaussian e-beam lithography system operating at 10 keV and a home-developed SPL setup.

Journal ArticleDOI
TL;DR: Rat mesenchymal stem cells (rMSCs) were cultured on four different substrates and exhibited the highest level of alignment on PARAL, suggesting a synergetic effect of the two scales of topographies, while cells on PERP exhibited the lowest alignment and a consistent change in morphology over time that seemed to be the result of interactions with both micro- and nanochannels positioned in the perpendicular direction.
Abstract: We report on the development of micro/nanofabrication processes to create hierarchical surface topographies that expand from 50 nm to microns in size on different materials. Three different approaches (named FIB1, FIB2, and EBL) that combine a variety of techniques such as photolithography, reactive ion etching, focused ion beam lithography, electron beam lithography, and soft lithography were developed, each one providing different advantages and disadvantages. The EBL approach was employed to fabricate substrates comprising channels with features between 200 nm and 10 μm in size on polymethylmethacrylate (PMMA), which were then used to investigate the independent or competitive effects of micro- and nanotopographies on cell adhesion and morphology. Rat mesenchymal stem cells (rMSCs) were cultured on four different substrates including 10 μm wide and 500 nm deep channels separated by 10 μm distances (MICRO), 200 nm wide and 100 nm deep nanochannels separated by 200 nm distances (NANO), their combination in parallel (PARAL), and in a perpendicular direction (PERP). Rat MSCs behaved differently on all tested substrates with a high degree of alignment (as measured by both number of aligned cells and average angle) on both NANO and MICRO. Furthermore, cells exhibited the highest level of alignment on PARAL, suggesting a synergetic effect of the two scales of topographies. On the other hand, cells on PERP exhibited the lowest alignment and a consistent change in morphology over time that seemed to be the result of interactions with both micro- and nanochannels positioned in the perpendicular direction, also suggesting a competitive effect of the topographies.

Journal ArticleDOI
TL;DR: This work presents the fabrication of such submicrometer scale structures by three dimensional laser two-photon photolithography, results of their optical properties measurements and compare the latter with numerical simulations.
Abstract: Simple diffraction structures having the form of a regular grid of pillars can generate a significant range of hues in white light transmission due to color-dependent diffraction into higher orders. We present the fabrication of such submicrometer scale structures by three dimensional laser two-photon photolithography, results of their optical properties measurements and compare the latter with numerical simulations.

Journal ArticleDOI
TL;DR: The exciton lifetime of single silicon quantum dots, fabricated by electron beam lithography, reactive ion etching and oxidation, is measured and it is shown that this lifetime variation may be the origin of the heavily debated non-exponential (stretched) decays typically observed for ensemble measurements.
Abstract: We measured the exciton lifetime of single silicon quantum dots, fabricated by electron beam lithography, reactive ion etching and oxidation. The observed photoluminescence decays are of mono-expon ...

Journal ArticleDOI
TL;DR: The trench-structured substrate proposed in this study can fix the magnetic cell to the sensor in a fluid environment, and the stray field generated by the corners of the magnetic zigzag nanowires has the function of actively attracting the magnetic cells for detection.
Abstract: A magnetic zigzag nanowire device was designed for single cell biosensing. Nanowires with widths of 150, 300, 500, and 800 nm were fabricated on silicon trenches by electron beam lithography, electron beam evaporation, and lift-off processes. Magnetoresistance measurements were performed before and after the attachment of a single magnetic cell to the nanowires to characterize the magnetic signal change due to the influence of the magnetic cell. Magnetoresistance responses were measured in different magnetic field directions, and the results showed that this nanowire device can be used for multi-directional detection. It was observed that the highest switching field variation occurred in a 150 nm wide nanowire when the field was perpendicular to the substrate plane. On the other hand, the highest magnetoresistance ratio variation occurred in a 800 nm wide nanowire also when the field was perpendicular to the substrate plane. Besides, the trench-structured substrate proposed in this study can fix the magnetic cell to the sensor in a fluid environment, and the stray field generated by the corners of the magnetic zigzag nanowires has the function of actively attracting the magnetic cells for detection.

Journal ArticleDOI
TL;DR: The results provided direct evidence, suggesting that the resistance change resulted from oxygen migration, with element mapping of LRS showing that the nanowire was zinc dominant indicating that the oxygen vacancies were introduced after resistance switching.
Abstract: Resistive random-access memory (ReRAM) has been of wide interest for its potential to replace flash memory in the next-generation nonvolatile memory roadmap. In this study, we have fabricated the Au/ZnO-nanowire/Au nanomemory device by electron beam lithography and, subsequently, utilized in situ transmission electron microscopy (TEM) to observe the atomic structure evolution from the initial state to the low-resistance state (LRS) in the ZnO nanowire. The element mapping of LRS showing that the nanowire was zinc dominant indicating that the oxygen vacancies were introduced after resistance switching. The results provided direct evidence, suggesting that the resistance change resulted from oxygen migration.

Journal ArticleDOI
TL;DR: It is shown that ion beam lithography (IBL) may advantageously simplify Fresnel Zone Plates preparation and measured efficiencies in the 1st and 2nd order of diffraction reach the theoretical predictions.
Abstract: Fresnel Zone Plates (FZP) are to date very successful focusing optics for X-rays. Established methods of fabrication are rather complex and based on electron beam lithography (EBL). Here, we show that ion beam lithography (IBL) may advantageously simplify their preparation. A FZP operable from the extreme UV to the limit of the hard X-ray was prepared and tested from 450 eV to 1500 eV. The trapezoidal profile of the FZP favorably activates its 2nd order focus. The FZP with an outermost zone width of 100 nm allows the visualization of features down to 61, 31 and 21 nm in the 1st, 2nd and 3rd order focus respectively. Measured efficiencies in the 1st and 2nd order of diffraction reach the theoretical predictions.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate the fabrication of coaxial structures (nanoholes and nanoparticles) using focused ion beam milling and electron-beam lithography followed by argon ion milling, respectively.

Journal ArticleDOI
TL;DR: In this paper, a double-layer resist system was proposed to create dense structures by EBL utilizing a layer of polymethyl-methacrylate (PMMA) as sacrificial layer beneath a HSQ layer.

Journal ArticleDOI
TL;DR: In this paper, the gold-assisted chemical beam epitaxy growth of InAs/InSb axial heterostructured nanowire arrays employing electron beam lithography defined patterns with highly controlled nano-wire diameter and density was studied.
Abstract: We present a study on the gold-assisted chemical beam epitaxy growth of InAs/InSb axial heterostructured nanowire (NW) arrays employing electron beam lithography defined patterns with highly controlled nanowire diameter and density. We observe that the growth rate of InSb increases with increasing nanowire density, opposite to the commonly observed behavior, in which the NWs compete for the incoming material and therefore their axial growth rate is typically reduced for high nanowire densities. To explain this puzzling behavior, we developed a model that includes the contribution of readsorption of desorbed material from the neighboring nanowire sidewalls. Our results show an innovative route to achieve dense nanowire arrays with a high growth rate.

Journal ArticleDOI
TL;DR: In this article, a general method for reducing interparticle gap size in lithographically patterned metal particle arrays is introduced, where dense gold nanoparticle arrays are fabricated by electron beam lithography and the patterned structure is subsequently covered with thin layers of gold and annealed at a high temperature.
Abstract: A general method for reducing interparticle gap size in lithographically patterned metal particle arrays is introduced. Dense gold nanoparticle arrays are fabricated by electron beam lithography and the patterned structure is subsequently covered with thin layers of gold and annealed at a high temperature. This results in ripening of the initially patterned particles and in reduced interparticle distances, as well as in an improvement in the optical properties through gold recrystallization and grain growth. At intermediate processing steps, a distinctly bimodal particle distribution can be realized for effective nanofocusing of incident radiation into a high density of hotspots. 3D finite-difference time domain simulations and surface-enhanced Raman scattering experiments confirm that high local electric fields arise in such structures, resulting in enhancement of the Raman signals on the order of 106. This combination of lithographic patterning and self-assembly can be used to obtain novel plasmonic nanostructures that cannot be directly fabricated by other means.

Journal ArticleDOI
18 Sep 2013-ACS Nano
TL;DR: The new data confirms the intrinsic single-molecule sensitivity of the technique but the results indicate that the main sources of uncertainty come from variations in sensitivity across the surface of individual particles and between different particles.
Abstract: Ultrasensitive biosensing is one of the main driving forces behind the dynamic research field of plasmonics. We have previously demonstrated that the sensitivity of single nanoparticle plasmon spectroscopy can be greatly enhanced by enzymatic amplification of the refractive index footprint of individual protein molecules, so-called plasmon-enhanced enzyme-linked immunosorbent assay (ELISA). The technique, which is based on generation of an optically dense precipitate catalyzed by horseradish peroxidase at the metal surface, allowed for colorimetric analysis of ultralow molecular surface coverages with a limit of detection approaching the single molecule limit. However, the plasmonic response induced by a single enzyme can be expected to vary for a number of reasons, including inhomogeneous broadening of the sensing properties of individual particles, variation in electric field enhancement over the surface of a single particle and variation in size and morphology of the enzymatic precipitate. In this report, we discuss how such inhomogeneities affect the possibility to quantify the number of molecules bound to a single nanoparticle. The discussion is based on simulations and measurements of large arrays of well-separated gold nanoparticles fabricated by electron beam lithography (EBL). The new data confirms the intrinsic single-molecule sensitivity of the technique but we were not able to clearly resolve the exact number of adsorbed molecules per single particle. The results indicate that the main sources of uncertainty come from variations in sensitivity across the surface of individual particles and between different particles. There is also a considerable uncertainty in the actual precipitate morphology produced by individual enzyme molecules. Possible routes toward further improvements of the methodology are discussed.