scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2016"


Journal ArticleDOI
TL;DR: In this article, the authors developed a recipe for fully CMOS-compatible titanium nitride (TiN) that is plasmonic in the visible and near infrared regimes using low-temperature plasmaenhanced atomic layer deposition (PEALD).
Abstract: CMOS-compatible fabrication of plasmonic materials and devices will accelerate the development of integrated nanophotonics for information processing applications. Using low-temperature plasma-enhanced atomic layer deposition (PEALD), we develop a recipe for fully CMOS-compatible titanium nitride (TiN) that is plasmonic in the visible and near infrared. Films are grown on silicon, silicon dioxide, and epitaxially on magnesium oxide substrates. By optimizing the plasma exposure per growth cycle during PEALD, carbon and oxygen contamination are reduced, lowering undesirable loss. We use electron beam lithography to pattern TiN nanopillars with varying diameters on silicon in large-area arrays. In the first reported single-particle measurements on plasmonic TiN, we demonstrate size-tunable darkfield scattering spectroscopy in the visible and near infrared regimes. The optical properties of this CMOS-compatible material, combined with its high melting temperature and mechanical durability, comprise a step towards fully CMOS-integrated nanophotonic information processing.

85 citations


Journal ArticleDOI
Burak Derkus1
TL;DR: Three different type of microengineered sensing devices which are developed using micro/nano-patterning techniques, microfluidic technology, and microelectromechanics system based technology are focused on.

71 citations


Journal ArticleDOI
TL;DR: This work investigated low-loss photonic device fabrication in Ge23Sb7S70 chalcogenide glass using electron beam lithography followed by plasma dry etching, and demonstrated high-index-contrast waveguides and microdisk resonators with an intrinsic quality factor of 1.2×106.
Abstract: Low-loss waveguides constitute an important building block for integrated photonic systems. In this work, we investigated low-loss photonic device fabrication in Ge23Sb7S70 chalcogenide glass using electron beam lithography followed by plasma dry etching. High-index-contrast waveguides with a low propagation loss of 0.5 dB/cm and microdisk resonators with an intrinsic quality factor (Q-factor) of 1.2×106 were demonstrated. Both figures represent, to the best of our knowledge, the best low-loss results reported thus far in submicrometer single-mode chalcogenide glass devices.

71 citations


Journal ArticleDOI
TL;DR: In this paper, surface-enhanced Raman scattering (SERS) on a gold triangular nanoprisms array with precisely controlled size and spacing, fabricated via electron beam lithography, was investigated.

71 citations


Journal ArticleDOI
TL;DR: Negative electron affinity GaAs wire-array photocathodes have been fabricated by reactive ion etching and inductively coupled plasma etching of bulk GaAs material followed by Cs-O activation and Scanning electron microscope revealed that the thus obtained high-density GaAsWire arrays had high periodicity, large height, and good morphology.
Abstract: Negative electron affinity GaAs wire-array photocathodes have been fabricated by reactive ion etching and inductively coupled plasma etching of bulk GaAs material followed by Cs-O activation. Scanning electron microscope has revealed that the thus obtained high-density GaAs wire arrays had high periodicity, large height, and good morphology. Photoluminescence spectra indicated the wire arrays were of good crystalline quality and free from any obvious damage. Compared to the original GaAs wafer, the photoluminescence peak positions of the wire arrays were somewhat red-shifted, which may be attributed to the temperature effect and strain relaxation. The wire-array structures showed significantly reduced light reflection compared with the original wafer due to the excellent light-trapping effect. Cs-O activation experiments of the GaAs wire arrays have been performed to reveal the effect of incident angle on quantum efficiency. The results show that maximum quantum efficiency was obtained at about 30°. Given these unique electrical and optical properties, a GaAs wire-array photocathode is an attractive alternative to its planar-structured counterpart.

55 citations


Journal ArticleDOI
Yiqin Chen1, Quan Xiang1, Zhiqin Li1, Yasi Wang1, Yuhan Meng1, Huigao Duan1 
TL;DR: It is demonstrated that multiscale hierarchical metallic structures with arbitrary shapes and minimal feature size of ∼15 nm could be defined with high fidelity using SPL process for potential nanoelectronic and nano-optical applications.
Abstract: We report a unique lithographic process, termed “Sketch and Peel” lithography (SPL), for fast, clean, and reliable patterning of metallic structures from tens of nanometers to submillimeter scale using direct writing technology. The key idea of SPL process is to define structures using their presketched outlines as the templates for subsequent selective peeling of evaporated metallic layer. With reduced exposure area, SPL process enables significantly improved patterning efficiency up to hundreds of times higher and greatly mitigated proximity effect compared to current direct writing strategy. We demonstrate that multiscale hierarchical metallic structures with arbitrary shapes and minimal feature size of ∼15 nm could be defined with high fidelity using SPL process for potential nanoelectronic and nano-optical applications.

53 citations


Journal ArticleDOI
TL;DR: The liftoff process limits are studied to achieve extreme dense nanowires while ensuring preservation of thin film quality and the resist thickness and its geometric profile after development were identified to be the major limiting factors.
Abstract: Emerging nano-scale technologies are pushing the fabrication boundaries at their limits, for leveraging an even higher density of nano-devices towards reaching 4F2/cell footprint in 3D arrays. Here, we study the liftoff process limits to achieve extreme dense nanowires while ensuring preservation of thin film quality. The proposed method is optimized for attaining a multiple layer fabrication to reliably achieve 3D nano-device stacks of 32 × 32 nanowire arrays across 6-inch wafer, using electron beam lithography at 100 kV and polymethyl methacrylate (PMMA) resist at different thicknesses. The resist thickness and its geometric profile after development were identified to be the major limiting factors, and suggestions for addressing these issues are provided. Multiple layers were successfully achieved to fabricate arrays of 1 Ki cells that have sub- 15 nm nanowires distant by 28 nm across 6-inch wafer.

44 citations


Journal ArticleDOI
TL;DR: In this article, a negative tone fullerene-derivative molecular resist was fabricated using helium ion beam lithography (HIBL) and shown to have a sensitivity of 40µC/cm2 with a 30keV helium beam.

43 citations


Journal ArticleDOI
TL;DR: This study experimentally verified that the processing of TiN thin films with the nanoimprint lithography and reactive ion etching is a powerful and versatile way of preparing plasmonic nanostructures.
Abstract: We have fabricated two-dimensional periodic arrays of titanium nitride (TiN) nanoparticles from epitaxial thin films. The thin films of TiN, deposited on sapphire and single crystalline magnesium oxide substrates by a pulsed laser deposition, are metallic and show reasonably small optical loss in the visible and near infrared regions. The thin films prepared were structured to the arrays of nanoparticles with the pitch of 400 nm by the combination of nanoimprint lithography and reactive ion etching. Optical transmission indicates that the arrays support the collective plasmonic modes, where the localized surface plasmon polaritons in TiN nanoparticles are radiatively coupled through diffraction. Numerical simulation visualizes the intense fields accumulated both in the nanoparticles and in between the particles, confirming that the collective mode originates from the simultaneous excitation of localized surface plasmon polaritons and diffraction. This study experimentally verified that the processing of TiN thin films with the nanoimprint lithography and reactive ion etching is a powerful and versatile way of preparing plasmonic nanostructures.

43 citations


Journal ArticleDOI
TL;DR: In this article, direct laser writing (DLW) in positive tone photoresists is used for fast fabrication of arrays of nanoscale plasmonic structures with a great level of control over the design and dimensions of the nanostructures.
Abstract: A challenge for design, testing, and fabrication of nanostructured chemical sensors is the fabrication of mm2 size arrays of nanostructures in a reasonable time. Herein, we introduce and show how direct laser writing (DLW) in positive-tone photoresists, followed by lift-off process, can be used for fast fabrication (up to three times faster than a comparable electron beam lithography system) of arrays of nanoscale plasmonic structures with a great level of control over the design and dimensions of the nanostructures. We demonstrate the function of nanostructured arrays, fabricated by various DLW approaches, with surface enhanced infrared absorption (SEIRA) detection of nine vibrational modes of PMMA. We also discuss the tunability of the plasmonic resonance—and hence the spectral detection range—by alteration of the size and array parameters of the nanostructures, and demonstrate the flexibility of this fabrication method by showing devices made of various substrate and antenna materials.

41 citations


Journal ArticleDOI
22 Jun 2016
TL;DR: Nanoimprint lithography has attracted considerable attention in academic and industrial fields as one of the most prominent lithographic techniques for the fabrication of the nanoscale devices as discussed by the authors.
Abstract: Nanoimprint lithography has attracted considerable attention in academic and industrial fields as one of the most prominent lithographic techniques for the fabrication of the nanoscale devices. Effectively controllable shapes of fabricated elements, extremely high resolution, and cost-effectiveness of this especial lithographic system have shown unlimited potential to be utilized for practical applications. In the past decade, many different lithographic techniques have been developed such as electron beam lithography, photolithography, and nanoimprint lithography. Among them, nanoimprint lithography has proven to have not only various advantages that other lithographic techniques have but also potential to minimize the limitations of current lithographic techniques. In this review, we summarize current lithography techniques and, furthermore, investigate the nanoimprint lithography in detail in particular focusing on the types of molds. Nanoimprint lithography can be categorized into three different techniques (hard-mold, soft-mold, and hybrid nanoimprint) depending upon the molds for imprint with different advantages and disadvantages. With numerous studies and improvements, nanoimprint lithography has shown great potential which maximizes its effectiveness in patterning by minimizing its limitations. This technique will surely be the next generation lithographic technique which will open the new paradigm for the patterning and fabrication in nanoscale devices in industry.

Journal ArticleDOI
TL;DR: A method for submicron fabrication of flexible, thin-film structures fully encapsulated in biocompatible polymer poly(chloro-p-xylylene) (Parylene C) that improves feature size and resolution by an order of magnitude compared with prior work is presented.
Abstract: We present a method for submicron fabrication of flexible, thin-film structures fully encapsulated in biocompatible polymer poly(chloro-p-xylylene) (Parylene C) that improves feature size and resolution by an order of magnitude compared with prior work. We achieved critical dimensions as small as 250 nm by adapting electron beam lithography for use on vapor deposited Parylene-coated substrates and fabricated encapsulated metal structures, including conducting traces, serpentine resistors, and nano-patterned electrodes. Structures were probed electrically and mechanically demonstrating robust performance even under flexion or torsion. The developed fabrication process for electron beam lithography on Parylene-coated substrates and characterization of the resulting structures are presented in addition to a discussion of the challenges of applying electron beam lithography to polymers. As an application of the technique, a Parylene-based neural probe prototype was fabricated with 32 recording sites patterned along a 2 mm long shank, an electrode density surpassing any prior polymer probe. Flexible, polymer-coated electrodes with features as narrow as 250 nm have been produced using electron-beam lithography. The polymer Parylene C is widely used in implantable devices such as neural probes as a biocompatible and insulating coating for electrodes. However, it is challenging to pattern this polymer with electron beams because of its sensitivity to heat and charge. Ellis Meng and Kee Scholten from the University of Southern California, United States, overcame these limitation with a chromium-capped methacrylate resist mask. Depositing this mask onto a Parylene C-encapsulated titanium thin film helped to reduce thermal stress effects and electric charge build-up, which improved feature resolution by an order of magnitude beyond that of existing approaches. A prototype neural probe with 32 data recording sites along a 2-mm span—an electrode density that greatly exceeds previous polymer implants—demonstrated the potential of the technique.

Journal ArticleDOI
TL;DR: In this paper, pure silk fibroin can be used as a green and bio-functional photoresist for deep ultraviolet photolithography, and the process is entirely water-based, from resist solvent to resist removal.
Abstract: We report that pure silk fibroin can be a green and biofunctional photoresist for deep ultraviolet photolithography. All processes are entirely water-based, from resist solvent to resist removal, and rely on the phototendering effect that decreases the crystallinity of silk fibroin films by DUV exposure. Additionally, the potential decrease in activity of bio-dopants due to high-energy irradiation is irrelevant to our positive-tone lithographic method.

Journal ArticleDOI
TL;DR: In this article, a molecular Snoxide based negative tone resist featuring high absorbance at EUV wavelengths was investigated for the simple and direct fabrication of highly efficient diffraction gratings necessary for single-digit nm resolution patterning with EUV interference lithography (EUV-IL).

Journal ArticleDOI
29 Mar 2016-PLOS ONE
TL;DR: The scaled-down silicon nanowire exhibited superior performances in terms of good specificity and high sensitivity, which enables for efficient label-free, direct and higher-accuracy DNA molecules detection and serves as novel biosensor for future biomedical diagnostic applications.
Abstract: A top-down nanofabrication approach is used to develop silicon nanowires from silicon-on-insulator (SOI) wafers and involves direct-write electron beam lithography (EBL), inductively coupled plasma-reactive ion etching (ICP-RIE) and a size reduction process. To achieve nanometer scale size, the crucial factors contributing to the EBL and size reduction processes are highlighted. The resulting silicon nanowires, which are 20 nm in width and 30 nm in height (with a triangular shape) and have a straight structure over the length of 400 μm, are fabricated precisely at the designed location on the device. The device is applied in biomolecule detection based on the changes in drain current (Ids), electrical resistance and conductance of the silicon nanowires upon hybridization to complementary target deoxyribonucleic acid (DNA). In this context, the scaled-down device exhibited superior performances in terms of good specificity and high sensitivity, with a limit of detection (LOD) of 10 fM, enables for efficient label-free, direct and higher-accuracy DNA molecules detection. Thus, this silicon nanowire can be used as an improved transducer and serves as novel biosensor for future biomedical diagnostic applications.

Journal ArticleDOI
Dung Hoang Tien1, Jun-Young Park1, Ki Buem Kim1, Naesung Lee1, Yongho Seo1 
TL;DR: The high gas sensitivity of the device fabricated by shadow mask is attributed to adsorption on the clean graphene surface, and it is found that the Dirac peaks of the graphene devices on SiO2 or on hexagonal boron nitride (hBN) shift from a positive gate voltage region to a negative region as air pressure decreases.
Abstract: To pattern electrical metal contacts, electron beam lithography or photolithography are commonly utilized, and these processes require polymer resists with solvents. During the patterning process the graphene surface is exposed to chemicals, and the residue on the graphene surface was unable to be completely removed by any method, causing the graphene layer to be contaminated. A lithography free method can overcome these residue problems. In this study, we use a micro-grid as a shadow mask to fabricate a graphene based field-effect-transistor (FET). Electrical measurements of the graphene based FET samples are carried out in air and vacuum. It is found that the Dirac peaks of the graphene devices on SiO2 or on hexagonal boron nitride (hBN) shift from a positive gate voltage region to a negative region as air pressure decreases. In particular, the Dirac peaks shift very rapidly when the pressure decreases from ~2 × 10(-3) Torr to ~5 × 10(-5) Torr within 5 minutes. These Dirac peak shifts are known as adsorption and desorption of environmental gases, but the shift amounts are considerably different depending on the fabrication process. The high gas sensitivity of the device fabricated by shadow mask is attributed to adsorption on the clean graphene surface.

Journal ArticleDOI
TL;DR: The experiment results have shown that the hierarchical anisotropy and isotropy surface structures can be fabricated by this method with the control of the parameters of each incident beam, which is in accordance with the theoretical analysis and computer simulations.
Abstract: This paper presents a facile approach for the rapid and maskless fabrication of hierarchical structures by multibeam laser interference. In the work, three- and four-beam laser interference lithographies were proposed to fabricate ordered multiscale surface structures instead of six or more beam interference with a complicated system setup. The pitch and shape of hierarchical structures can be controlled by adjusting the parameters of incident light. The experiment results have shown that the hierarchical anisotropy and isotropy surface structures can be fabricated by this method with the control of the parameters of each incident beam, which is in accordance with the theoretical analysis and computer simulations.

Proceedings ArticleDOI
TL;DR: Inpria as mentioned in this paper leveraged novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance, which has been shown to improve photospeed and provide an update on imaging performance.
Abstract: Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.

Journal ArticleDOI
TL;DR: In this paper, the usability of ZEP520A as resist for thermally activated selective topography equilibration (TASTE) was investigated, and it was found that a high contrast resist such as ZEP-520A is well suitable for grayscale electron-beam lithography, and a selective thermal reflow is possible with ZEP−520A and reflow was governed by the same energy minimization principle as known from poly (methyl methacrylate) (PMMA), another linear thermoplastic resist.

Journal ArticleDOI
TL;DR: In this paper, a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching, was investigated.
Abstract: We analyze a method to selectively grow straight, vertical gallium nitride nanowires by plasma-assisted molecular beam epitaxy (MBE) at sites specified by a silicon oxide mask, which is thermally grown on silicon (111) substrates and patterned by electron-beam lithography and reactive-ion etching. The investigated method requires only one single molecular beam epitaxy MBE growth process, i.e., the SiO2 mask is formed on silicon instead of on a previously grown GaN or AlN buffer layer. We present a systematic and analytical study involving various mask patterns, characterization by scanning electron microscopy, transmission electron microscopy, and photoluminescence spectroscopy, as well as numerical simulations, to evaluate how the dimensions (window diameter and spacing) of the mask affect the distribution of the nanowires, their morphology, and alignment, as well as their photonic properties. Capabilities and limitations for this method of selective-area growth of nanowires have been identified. A windo...

Journal ArticleDOI
TL;DR: This approach increases the flexibility of interference lithography to fabricate more complex submicrometer photonic structures and photonic metamaterial structures for future applications.
Abstract: We present a large-area and single-step fabrication approach based on phase spatial light modulator (SLM)-assisted interference lithography for the realization of submicrometer photonic structures on photoresist. A multimirror beam steering unit is used to reflect the SLM-generated phase-engineered beams leading to a large angle between interfering beams while also preserving the large area of the interfering plane beams. Both translational and rotational periodic submicrometer structures are experimentally realized. This approach increases the flexibility of interference lithography to fabricate more complex submicrometer photonic structures and photonic metamaterial structures for future applications.

Journal ArticleDOI
TL;DR: In this article, the authors demonstrate the first top-gated 10-nm scale field effect transistor with chemical-vapor-deposition synthesized monolayer MoS2 as the channel material.
Abstract: In this letter, we demonstrate the first top-gated 10-nm scale field-effect transistor with chemical-vapor-deposition synthesized monolayer MoS2 as the channel material. Ultra-thin metallic Co2Si nanowires are employed to gate the MoS2 channel and thereby define the device feature size—gate/channel length, as well as to serve as a self-aligned mask for source/drain metallization. This process not only avoids using the high-cost and low-yield electron beam lithography to define the gate/channel length, but also enables a top-gate structure with ultrathin gate dielectric. The latter is required to suppress short channel effects, but difficult to achieve with conventional deposition techniques on 2D layered semiconductors. Moreover, low OFF-current of 10 pA/ $\mu \text{m}$ and high ON/OFF current ratio over six orders are achieved, which makes this device quite promising for next-generation CMOS technology.

Journal ArticleDOI
TL;DR: In this paper, a series of metallic patterns, consisting of superimposed arrays of gold nanoprisms, were developed for surface-enhanced Raman spectroscopy (SERS), surfaceenhanced fluorescence (SEF), and surface enhanced infrared absorption (SEIRA).
Abstract: Molecular plasmonics relies on the development of conductive nanostructures to yield large local electromagnetic enhancement enabling the detection of molecules located in their vicinity. Although various spectroscopic techniques benefit from such enhancement, performing different spectroscopic measurements on the same platform remains a challenge. As such, the rational design of structures capable of enhancement effects over a large spectral range, particularly from the visible to the mid-infrared, is of great interest. Herein, we have developed a series of metallic patterns, consisting of superimposed arrays of gold nanoprisms, that have the potential for surface-enhanced Raman spectroscopy (SERS), surface-enhanced fluorescence (SEF), and surface-enhanced infrared absorption (SEIRA). We first demonstrate that a modified version of the nanosphere lithography method can be used to fabricate such platforms. Patterns with selected sizes can further be produced by electron-beam lithography with virtually no ...

Journal ArticleDOI
TL;DR: In this article, the shape and RMS surface roughness of microlens vary with 3D EBL exposure dose, and it was shown that a greater nominal 3D exposure dose is providing more precise reproduction of the micro-lens shape.

Journal ArticleDOI
TL;DR: In this article, a positive electron beam lithography (EBL) resist for polysilicon with β-sheets was proposed. But the resist was not shown to be water-solvable.
Abstract: Thermal diffusivity of silk fibroin films, α = (1.6 ± 0.24) × 10−7 m2 s−1, was measured by a direct contact method. It was shown to be reduced down to ∼1 × 10−7 m2 s−1 in the crystallized phase, consistent with the multi-domain composition of β-sheet assemblies. Crystalline silk with β-sheets was made by dipping into alcohol and was used as a positive electron beam lithography (EBL) resist. It is shown by direct IR imaging of the 1619 cm−1 amide-I CO spectral signature and 3290 cm−1 amide-A N–H stretching band that an e-beam is responsible for unzipping β-sheets, which subsequently results in exposed areas returning to a water soluble state. This makes it possible to develop a water-based biocompatible silk resist and use it in lithography applications. The general principles of protein crystallization, traceable to spectral changes in IR amide bands of silk, can be used as a guide for the creation of new protein EBL resists and to quantify the electron dose required for solubility. Foam formation and laser treatments of silk can provide new approaches in surface functionalization and fabrication of 3D bio-scaffolds.

Book
01 Jan 2016
TL;DR: In this paper, the world's leading resist chemists and technique development lithographers present a comprehensive overview of the state-of-the-art techniques for next generation lithography, including EUV and multibeam electron beam lithography.
Abstract: As the requirements of the semiconductor industry have become more demanding in terms of resolution and speed it has been necessary to push photoresist materials far beyond the capabilities previously envisioned. Currently there is significant worldwide research effort in to so called Next Generation Lithography techniques such as EUV lithography and multibeam electron beam lithography. These developments in both the industrial and the academic lithography arenas have led to the proliferation of numerous novel approaches to resist chemistry and ingenious extensions of traditional photopolymers. Currently most texts in this area focus on either lithography with perhaps one or two chapters on resists, or on traditional resist materials with relatively little consideration of new approaches. This book therefore aims to bring together the worlds foremost resist development scientists from the various community to produce in one place a definitive description of the many approaches to lithography fabrication.Assembles up-to-date information from the world's premier resist chemists and technique development lithographers on the properties and capabilities of the wide range of resist materials currently under investigationIncludes information on processing and metrology techniquesBrings together multiple approaches to litho pattern recording from academia and industry in one place

Journal ArticleDOI
TL;DR: In this paper, the incorporation of SiV centers during hot filament chemical vapor deposition of diamond on top of diamond nanopillars with diameters down to 100nm was reported, and optical investigations revealed the presence of ensembles of siV color centers incorporated during the overgrowth step.

Journal ArticleDOI
TL;DR: In this paper, the authors show how the limitations of focused ion beam milling in the fabrication of such holograms can be overcome by using electron beam lithography, and demonstrate experimentally the realization of an electron vortex beam with the largest OAM value that has yet been reported (L = 1000h\bar).
Abstract: Free electron beams that carry high values of orbital angular momentum (OAM) possess large magnetic moments along the propagation direction. This makes them an ideal probe for measuring the electronic and magnetic properties of materials, and for fundamental experiments in magnetism. However, their generation requires the use of complex diffractive elements, which usually take the form of nano-fabricated holograms. Here, we show how the limitations of focused ion beam milling in the fabrication of such holograms can be overcome by using electron beam lithography. We demonstrate experimentally the realization of an electron vortex beam with the largest OAM value that has yet been reported (L = 1000h\bar), paving the way for even more demanding demonstrations and applications of electron beam shaping.

Journal ArticleDOI
TL;DR: In this article, the Coulomb blockade thermometry was demonstrated experimentally working at temperatures up to 60 K. Advances in nano-fabrication methods using electron beam lithography allow to fabricate uniform arrays of sufficiently small tunnel junctions to guarantee an overall temperature reading precision of about 1%.
Abstract: We demonstrate experimentally a precise realization of Coulomb blockade thermometry working at temperatures up to 60 K. Advances in nano-fabrication methods using electron beam lithography allow us to fabricate uniform arrays of sufficiently small tunnel junctions to guarantee an overall temperature reading precision of about 1%.

Journal ArticleDOI
TL;DR: A photolithography-based, cost-effective mask fabrication method based on atomic layer deposition and overhang structures for sacrificial layers for sub-10 nm square ring arrays is introduced.
Abstract: Advances in photolithographic processes have allowed semiconductor industries to manufacture smaller and denser chips. As the feature size of integrated circuits becomes smaller, there has been a growing need for a photomask embedded with ever narrower patterns. However, it is challenging for electron beam lithography to obtain <10 nm linewidths with wafer scale uniformity and a necessary speed. Here, we introduce a photolithography-based, cost-effective mask fabrication method based on atomic layer deposition and overhang structures for sacrificial layers. Using this method, we obtained sub-10 nm square ring arrays of side length 50 μm, and periodicity 100 μm on chromium film, on 1 cm by 1 cm quartz substrate. These patterns were then used as a contact-lithography photomask using 365 nm I-line, to generate metal ring arrays on silicon substrate.