scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2018"


Journal ArticleDOI
TL;DR: In this paper, the authors discuss the latest developments in the field of Resonant Waveguide gratings (RWGs), including numerical modeling, manufacturing, the physics, and applications of RWGs, and links to the standard tools and references in modeling and fabrication according to their needs.
Abstract: Resonant waveguide gratings (RWGs), also known as guided mode resonant (GMR) gratings or waveguide-mode resonant gratings, are dielectric structures where these resonant diffractive elements benefit from lateral leaky guided modes from UV to microwave frequencies in many different configurations. A broad range of optical effects are obtained using RWGs such as waveguide coupling, filtering, focusing, field enhancement and nonlinear effects, magneto-optical Kerr effect, or electromagnetically induced transparency. Thanks to their high degree of optical tunability (wavelength, phase, polarization, intensity) and the variety of fabrication processes and materials available, RWGs have been implemented in a broad scope of applications in research and industry: refractive index and fluorescence biosensors, solar cells and photodetectors, signal processing, polarizers and wave plates, spectrometers, active tunable filters, mirrors for lasers and optical security features. The aim of this review is to discuss the latest developments in the field including numerical modeling, manufacturing, the physics, and applications of RWGs. Scientists and engineers interested in using RWGs for their application will also find links to the standard tools and references in modeling and fabrication according to their needs.

245 citations


Journal ArticleDOI
TL;DR: This review presents several commonly used top-down nanofabrication techniques that have the potential to fabricate nanoparticles, including photolithography, interference lithography, electron beam lithographic, mold-based lithography (nanoimprint lithography and soft lithography), nanostencil lithographers, and nanosphere lithography.

115 citations


Journal ArticleDOI
TL;DR: This work presents the deterministic integration of an InAs quantum dot into a 50/50 multimode interference beamsplitter via in situ electron beam lithography and demonstrates the combined emitter-gate interface functionality by measuring triggered single-photon emission on-chip.
Abstract: The development of multinode quantum optical circuits has attracted great attention in recent years. In particular, interfacing quantum-light sources, gates, and detectors on a single chip is highly desirable for the realization of large networks. In this context, fabrication techniques that enable the deterministic integration of preselected quantum-light emitters into nanophotonic elements play a key role when moving forward to circuits containing multiple emitters. Here, we present the deterministic integration of an InAs quantum dot into a 50/50 multimode interference beamsplitter via in situ electron beam lithography. We demonstrate the combined emitter-gate interface functionality by measuring triggered single-photon emission on-chip with g(2)(0) = 0.13 ± 0.02. Due to its high patterning resolution as well as spectral and spatial control, in situ electron beam lithography allows for integration of preselected quantum emitters into complex photonic systems. Being a scalable single-step approach, it p...

102 citations


Journal ArticleDOI
27 Dec 2018-ACS Nano
TL;DR: The orthogonal EBL technique is used to fabricate a 2D layered single-crystal featuring nanoscale patterned electrodes and superior photodetection ability with responsivity of 5.4 mA/W and detectivity of 1.07 × 1013 cm Hz1/2/W to fully enable the high-resolution, high-throughput fabrication of complex perovskite-based electronics in the near future.
Abstract: 3D organic–inorganic hybrid halide perovskites have attracted great interest due to their impressive optoelectronic properties. Recently, the emergence of 2D layered hybrid perovskites, with their excellent and tunable optoelectronic behavior, has encouraged researchers to develop the next generation of optoelectronics based on these 2D materials. However, device fabrication methods of scalable patterning on both types of hybrid perovskites are still lacking as these materials are readily damaged by the organic solvents in standard lithographic processes. We conceived the orthogonal processing and patterning method: Chlorobenzene and hexane, which are orthogonal to hybrid perovskites, are utilized in modified electron beam lithography (EBL) processes to fabricate perovskite-based devices without compromising their electronic or optical characteristics. As a proof-of-concept, we used the orthogonal EBL technique to fabricate a 2D layered single-crystal (C6H5C2H4NH3)2PbI4 photodetector featuring nanoscale p...

101 citations


Journal ArticleDOI
28 Feb 2018
TL;DR: In this article, Niall McEvoy et al. investigated the electrical contact properties of PtSe2 channels with controlled dimensions and thicknesses, and the corresponding contact resistivity and sheet resistance were extracted from transmission line method measurements.
Abstract: Platinum diselenide (PtSe2) is an exciting new member of the two-dimensional (2D) transition metal dichalcogenide (TMD) family. It has a semimetal to semiconductor transition when approaching monolayer thickness and has already shown significant potential for use in device applications. Notably, PtSe2 can be grown at low temperature making it potentially suitable for industrial usage. Here, we address thickness-dependent transport properties and investigate electrical contacts to PtSe2, a crucial and universal element of TMD-based electronic devices. PtSe2 films have been synthesized at various thicknesses and structured to allow contact engineering and the accurate extraction of electrical properties. Contact resistivity and sheet resistance extracted from transmission line method (TLM) measurements are compared for different contact metals and different PtSe2 film thicknesses. Furthermore, the transition from semimetal to semiconductor in PtSe2 has been indirectly verified by electrical characterization in field-effect devices. Finally, the influence of edge contacts at the metal–PtSe2 interface has been studied by nanostructuring the contact area using electron beam lithography. By increasing the edge contact length, the contact resistivity was improved by up to 70% compared to devices with conventional top contacts. The results presented here represent crucial steps toward realizing high-performance nanoelectronic devices based on group-10 TMDs. Transport measurements on channels of layered PtSe2 give insight into the realization of high-performance nanoelectronic PtSe2 devices. A team led by Niall McEvoy at Trinity College Dublin investigated the electrical contact properties of PtSe2 channels with controlled dimensions and thicknesses. Electron beam lithography was used to fabricate structures with different contact metals and different PtSe2 film thicknesses, and the corresponding contact resistivity and sheet resistance of the PtSe2 devices were extracted from transmission line method measurements. The charge-transport characteristics of the PtSe2 devices revealed that edge-contacted structures are able reduce the contact resistivity when compared to conventional devices with top contacts, thanks to enhancement of the carrier injection at the contacts. These results may pave the way to optimal design of PtSe2 nanoelectronic devices.

79 citations


Journal ArticleDOI
TL;DR: It is demonstrated that an electric field of ~200 V/μm is able to extract current from the flat part of MoS2 bilayers, which can therefore be conveniently exploited for field emission applications even in low field enhancement configurations.
Abstract: We report the electrical characterization and field emission properties of MoS 2 bilayers deposited on a SiO 2 / Si substrate Current–voltage characteristics are measured in the back-gate transistor configuration, with Ti contacts patterned by electron beam lithography We confirm the n-type character of as-grown MoS 2 and we report normally-on field-effect transistors Local characterization of field emission is performed inside a scanning electron microscope chamber with piezo-controlled tungsten tips working as the anode and the cathode We demonstrate that an electric field of ~ 200 V / μ m is able to extract current from the flat part of MoS 2 bilayers, which can therefore be conveniently exploited for field emission applications even in low field enhancement configurations We show that a Fowler–Nordheim model, modified to account for electron confinement in two-dimensional (2D) materials, fully describes the emission process

76 citations


Journal ArticleDOI
TL;DR: The presented work enables a technology where metal-based switchable nanoelectronics can be created on any dielectric surface with low energy requirements.
Abstract: Scattering-free transport in vacuum tubes has always been superior to solid-state transistors. It is the advanced fabrication with mass production capability at low cost which drove solid-state nanoelectronics. Here, we combine the best of vacuum tubes with advanced nanofabrication technology. We present nanoscale, metal-based, field emission air channel transistors. Comparative analysis of tungsten-, gold-, and platinum-based devices is presented. Devices are fabricated with electron beam lithography, achieving channel lengths less than 35 nm. With this small channel length, vacuum-like carrier transport is possible in air under room temperature and pressure. Source and drain electrodes have planar, symmetric, and sharp geometry. Because of this, devices operate in bidirection with voltages <2 V and current values in few tens of nanoamperes range. The experimental data shows that influential operation mechanism is Fowler-Nordheim tunnelling in tungsten and gold devices, while Schottky emission in platinum device. The presented work enables a technology where metal-based switchable nanoelectronics can be created on any dielectric surface with low energy requirements.

70 citations


Journal ArticleDOI
TL;DR: It is found stronger plasmonic response with better field confinement in the antennas fabricated by electron beam lithography, which is attributed to their better structural quality, homogeneous thickness, and only moderate contamination mostly of organic nature.
Abstract: We present a comparative study of plasmonic antennas fabricated by electron beam lithography and direct focused ion beam milling. We have investigated optical and structural properties and chemical composition of gold disc-shaped plasmonic antennas on a silicon nitride membrane fabricated by both methods to identify their advantages and disadvantages. Plasmonic antennas were characterized using transmission electron microscopy including electron energy loss spectroscopy and energy dispersive X-ray spectroscopy, and atomic force microscopy. We have found stronger plasmonic response with better field confinement in the antennas fabricated by electron beam lithography, which is attributed to their better structural quality, homogeneous thickness, and only moderate contamination mostly of organic nature. Plasmonic antennas fabricated by focused ion beam lithography feature weaker plasmonic response, lower structural quality with pronounced thickness fluctuations, and strong contamination, both organic and inorganic, including implanted ions from the focused beam. While both techniques are suitable for the fabrication of plasmonic antennas, electron beam lithography shall be prioritized over focused ion beam lithography due to better quality and performance of its products.

57 citations


Journal ArticleDOI
TL;DR: The demonstration of a color display metasurface on a 12-inch silicon wafer with critical dimension below 100 nm by complementary metal-oxide semiconductor (CMOS) compatible technology is reported for the first time.
Abstract: The demonstration of a color display metasurface on a 12-inch silicon wafer with critical dimension (CD) below 100 nm by complementary metal-oxide semiconductor (CMOS) compatible technology is reported for the first time. The 193 nm ArF deep UV immersion lithography is leveraged instead of electron beam lithography (EBL) to pattern the metasurface, which greatly improves the efficiency while keeping a high resolution. The demonstrated metasurface successfully generates the resonant modes and reflects the lights at resonance wavelengths, giving its display in red, green, and blue (RGB) colors. The wafer-level uniformities of CD and reflection characteristic of the metasurface are measured and analyzed. The experimental data show that they are well controlled in the fabrication process. The work provides a promising route towards mass production of dielectric metasurfaces.

57 citations


Journal ArticleDOI
TL;DR: In this paper, the authors compared the properties of eight different plasmonic materials, namely, Ag, Al, Au, Cu, Mg, Ni, Pd, and Pt.
Abstract: Plasmonic nanostructures are used today for a variety of applications. Choosing the best suited plasmonic material for a specific application depends on several criteria, such as chemical and thermal stability, bulk plasma frequency, nonlinear response, and fabrication constraints. To provide a comprehensive summary, we compare these properties for eight different plasmonic materials, namely, Ag, Al, Au, Cu, Mg, Ni, Pd, and Pt. All these materials can be fabricated with electron beam lithography and subsequent evaporation of the desired material. First, we heated rod-antenna-type nanostructures made from these materials up to 1100 °C in air and investigated their linear optical response. Most structures lose their plasmonic properties at temperatures far below the melting point of the respective material. Gold, silver, and platinum structurally deform, whereas the other materials appear to chemically degrade. Second, to improve the thermal stability, structures with a 4 nm thin Al2O3 capping layer are fab...

54 citations


Journal ArticleDOI
TL;DR: In this paper, an effective surface-enhanced Raman scattering (SERS)-active substrates from gold nanoparticle and gold nanohole arrays were successfully fabricated through electron beam lithography with precise computer-aided control of the unit size and intergap distance.

26 Apr 2018
TL;DR: Thomsa et al. as discussed by the authors showed that the use of a backscattered electron image together with a metal coating where appropriate can yield better measurement results than by using secondary electrons.
Abstract: As optical lithography advances toward the 10 nm mark, much effort is being expended to push electron beam lithography into the deep sub-10-nm regime. A significant issue at this length scale is the ability to accurately measure and compare linewidths. Measurements using secondary electron micrographs have a bias of a few nanometers and are therefore difficult to interpret in the sub-10-nm regime. Transmission electron microscopy can give greater accuracy but requires significant effort. This article shows that the use of a backscattered electron image together with a metal coating where appropriate can yield better measurement results than by using secondary electrons. With the use of a suitable model, linewidths for sub-10-nm hydrogen silsesquioxane lines were extracted with an estimated error of 1 nm. © 2010 American Vacuum Society. DOI: 10.1116/1.3505129 Courtesy of S. Thomsa and D. S. Macintyre, University of Glasgow

Journal ArticleDOI
TL;DR: It is shown that a focused electron probe can be used to produce controllable local oxidation of BP through nanometre size defects created in the encapsulation layer by the electron impact, achieving a spatial resolution down to 6 nm.
Abstract: Atomically thin black phosphorus (BP) has attracted considerable interest due to its unique properties, such as an infrared band gap that depends on the number of layers and excellent electronic transport characteristics. This material is known to be sensitive to light and oxygen and degrades in air unless protected with an encapsulation barrier, limiting its exploitation in electrical devices. We present a new scalable technique for nanopatterning few layered BP by direct electron beam exposure of encapsulated crystals, achieving a spatial resolution down to 6 nm. By encapsulating the BP with single layer graphene or hexagonal boron nitride (hBN), we show that a focused electron probe can be used to produce controllable local oxidation of BP through nanometre size defects created in the encapsulation layer by the electron impact. We have tested the approach in the scanning transmission electron microscope (STEM) and using industry standard electron beam lithography (EBL). Etched regions of the BP are sta...

Journal ArticleDOI
TL;DR: The refractive index of the gap region between two coupling waveguides is effectively increased using subwavelength grating, which leads to high-performance operation and a compact design footprint, with a mode-evolution length of only 25 µm and an entire device length of 65 µm.
Abstract: We demonstrate a compact high-performance adiabatic 3-dB coupler for the silicon-on-insulator platform. The refractive index of the gap region between two coupling waveguides is effectively increased using subwavelength grating, which leads to high-performance operation and a compact design footprint, with a mode-evolution length of only 25 µm and an entire device length of 65 µm. The designed adiabatic 3-dB coupler has been fabricated using electron beam lithography and the feature size used in our design is CMOS compatible. The fabricated device is characterized in the wavelength range from 1500 nm to 1600 nm, with a measured power splitting ratio better than 3 ± 0.27 dB and an average insertion loss of 0.20 dB.

Journal ArticleDOI
TL;DR: This configuration offers interesting perspectives for molecular transport and sensing investigations under variable coupling conditions as well as for tunable SERS substrates and optical strain sensor applications.
Abstract: As a multifunctional device for sensing experiments and fundamental research, tailor-made plasmonic nanostructures with continuously tunable resonances are created by preparing bow tie-shaped nanostructures on a flexible substrate. The bow ties are fabricated by electron beam lithography on a chromium sacrificial layer and transferred to a polydimethylsiloxane (PDMS) substrate. The structures on PDMS are analyzed by reflection dark-field spectroscopy and scanning electron microscopy. Dark-field spectra of individual nano-antennas are obtained while the substrate is relaxed, and while strain is applied and the substrate is elastically stretched. Depending on the alignment of the bow ties relative to the direction of the strain, the deformation of the substrates leads to an increase or decrease of the nanostructure gaps, and therefore to a fully reversible decrease or increase of the antenna coupling, respectively. The continuous change in coupling is visible as a blue-shift in the resonance of the coupling mode for increasing gap widths, and a red-shift for decreasing gap widths. This configuration offers interesting perspectives for molecular transport and sensing investigations under variable coupling conditions as well as for tunable SERS substrates and optical strain sensor applications. In particular, very narrow gaps are within reach in the transversal configuration.

Journal ArticleDOI
18 Sep 2018-ACS Nano
TL;DR: This work introduces a thiol-ene EBL resist with chemically reactive thiol groups on its native surface that allow the direct and selective "click" immobilization of biomolecules under benign processing conditions.
Abstract: Electron beam lithography (EBL) is of major importance for ultraminiaturized biohybrid system fabrication, as it allows combining biomolecular patterning and mechanical structure definition on the ...

Journal ArticleDOI
TL;DR: In this article, a periodical line pattern of a 35 nm half-period (about 1/10 the wavelength of laser) over a large area (20 × 20 mm) was achieved in an experiment, where the break of diffraction limit arises from exciting BPP modes with the high kx spatial frequency components inside hyperbolic metamaterial composed by metal-dielectric multifilms.
Abstract: Interference lithography is an important method for fabricating periodical nano-structures. Its resolution, however, is limited with the minimum period being half the wavelength of light due to the diffraction limit. In this study, we presented bulk plasmon polariton (BPP) interference lithography with the resolution far beyond the diffraction limit. As a demonstrative result, a periodical line pattern of a 35 nm half-period (about 1/10 the wavelength of laser) over a large area (20 × 20 mm) was achieved in an experiment. The break of diffraction limit arises from exciting BPP modes with the high kx spatial frequency components inside hyperbolic metamaterial (HMM) composed by metal-dielectric multifilms. To enhance the contrast and intensity of the interference fringe field of two BPP modes, a metal cladding resist layer and optimized materials are employed. In addition, the period of interference patterns could be tuned by exciting BPP modes with variant kx spatial frequency. It is believed that the method with low cost, large area, and high resolution advantages has potential applications for manufacturing functional structures like gratings, polarizers and photonic crystals, etc.

Journal ArticleDOI
TL;DR: In this article, a universal and flexible in-situ electron-beam lithography method was proposed to utilize universal energy-efficient light sources in the telecommunication range, where the main challenge in the development of non-classical light sources remains their brightness.
Abstract: The main challenge in the development of non-classical light sources remains their brightness that limits the data transmission and processing rates as well as the realization of practical devices operating in the telecommunication range. To overcome this issue, we propose to utilize universal and flexible in-situ electron-beam lithography and hereby, we demonstrate a successful technology transfer to telecom wavelengths. As an example, we fabricate and characterize especially designed photonic structures with strain-engineered single InGaAs/GaAs quantum dots that are deterministically integrated into disc-shaped mesas. Utilizing this approach, an extraction efficiency into free-space (within a numerical aperture of 0.4) of (10±2) % has been experimentally obtained in the 1.3 μm wavelength range in agreement with finite-element method calculations. High-purity single-photon emission with g(2)(0)<0.01 from such deterministic structure has been demonstrated under quasi-resonant excitation.

Journal ArticleDOI
14 Mar 2018-ACS Nano
TL;DR: It is demonstrated that surface-anchored metal-organic frameworks (SURMOFs) are extraordinary well-suited as resists for high-resolution focused electron beam induced processing (FEBIP) techniques and the role and high potential of loading and transport of the precursor molecules within the porous SURMOF materials is discussed.
Abstract: We demonstrate that surface-anchored metal–organic frameworks (SURMOFs) are extraordinary well-suited as resists for high-resolution focused electron beam induced processing (FEBIP) techniques. The combination of such powerful lithographic protocols with the huge versatility of MOF materials are investigated in respect to their potential in nanostructures fabrication. The applied FEBIP methods rely on the local decomposition of Fe(CO)5 and Co(CO)3NO as precursors, either by the direct impact of the focused electron beam (electron beam induced deposition, EBID) or through the interaction of the precursor molecules with preirradiated/activated SURMOF areas (electron beam induced surface activation, EBISA). We demonstrate the huge potential of the approach for two different types of MOFs (HKUST-1 and Zn-DPDCPP). Our “surface science” approach to FEBIP, yields well-defined deposits with each investigated precursor/SURMOF combination. Local Auger electron spectroscopy reveals clean iron deposits from Fe(CO)5; ...

Journal ArticleDOI
TL;DR: In this paper, the main challenge in the development of non-classical light sources remains their brightness that limits the data transmission and processing rates as well as the realization of practical devices operating in the telecommunication range.
Abstract: The main challenge in the development of non-classical light sources remains their brightness that limits the data transmission and processing rates as well as the realization of practical devices operating in the telecommunication range. To overcome this issue, we propose to utilize universal and flexible in-situ electron-beam lithography and hereby, we demonstrate a successful technology transfer to telecom wavelengths. As an example, we fabricate and characterize especially designed photonic structures with strain-engineered single InGaAs/GaAs quantum dots that are deterministically integrated into disc-shaped mesas. Utilizing this approach, an extraction efficiency into free-space (within a numerical aperture of 0.4) of (10${\pm}$2) % has been experimentally obtained in the 1.3 \mu m wavelength range in agreement with finite-element method calculations.

Journal ArticleDOI
TL;DR: Two-dimensional arrays of periodic nanostructures are fabricated on bulk tungsten surface within a single step using collinear propagation of two time-delayed femtosecond laser beams with orthogonal polarizations and it is surprisingly found that the geometric profile of the structure unit exhibits a triangle shape.
Abstract: Two-dimensional arrays of periodic nanostructures are fabricated on bulk tungsten surface within a single step using collinear propagation of two time-delayed femtosecond laser beams with orthogonal polarizations. It is surprisingly found that the geometric profile of the structure unit exhibits a triangle shape in hundred nanometer scales, and its spatial dimension can be modulated by the ambient air pressure ranging from 1 atm to 10-3 Pa. As the ambient air pressure decreases, the obtained surface structures display a large depth covered with nanowires. Physically, the formation of such triangle structures is originated from the transient physical correlations between the two laser-matter interaction processes, and also affected by the heat transfer effects of the surrounding air. In addition, the experimental measurements reveal that the minimum reflectivity of the nanotriangle surface structures is unprecedentedly reduced to as low as ~2.9% especially within the visible-infrared range.

Journal ArticleDOI
TL;DR: An improvement in the on-current and carrier mobility (μ) along with a decrease in threshold voltage (Vth) on exposure to an e-beam with 15 keV energy for 80 seconds is observed for BP-FETs.
Abstract: Few layer black phosphorus (BP) has recently emerged as a potential graphene analogue due to its high mobility and direct, appreciable, band gap. The fabrication and characterization of field effect transistors (FETs) involves exposure of the channel material to an electron beam (e-beam) in imaging techniques such as transmission electron microscopy (TEM) and scanning electron microscopy (SEM), and fabrication techniques like electron beam lithography (EBL). Despite this, the effect of e-beam irradiation on BP-FET performance has not been studied experimentally. In this work, we report the first experimental study on the impact of e-beam irradiation on BP-FETs. An electron beam is known to induce defects and structural changes in 2D materials like graphene, MoS2etc. resulting in the deterioration of the device quality. However, for BP-FETs, we observe an improvement in the on-current and carrier mobility (μ) along with a decrease in threshold voltage (Vth) on exposure to an e-beam with 15 keV energy for 80 seconds. These changes can be attributed to the capture of electrons by traps near the SiO2-BP interface and reduced BP surface roughness due to e-beam exposure. Hysteresis measurements and physical characterization (i.e. atomic force microscopy (AFM), X-ray photoelectron (XPS) and Raman spectroscopies) validate these mechanisms. Reduced hysteresis indicates occupation of the traps, AFM surface scans indicate reduced surface roughness and XPS data show a reduced phosphorus oxide (POx) peak immediately after exposure. Raman measurements indicate a probable structural change due to the interaction between e-beam and BP which could result in better stability.

Journal ArticleDOI
TL;DR: In this paper, the end faces of fiber bundles are planarized such that they are compatible with planar nanostructuring technology, with the result that fibers can be treated in the same way as typical wafers, opening up the entire field of nanotechnology for fiber optics.
Abstract: Here we present a novel fabrication approach that allows for the implementation of sophisticated planar nanostructures with deep subwavelength dimensions on fiber end faces by electron beam lithography. Specifically, we planarize the end faces of fiber bundles such that they are compatible with planar nanostructuring technology, with the result that fibers can be treated in the same way as typical wafers, opening up the entire field of nanotechnology for fiber optics. To demonstrate our approach, we have implemented densely-packed arrays of gold nanotrimers on the end face of 50 cm long standard single mode fibers, showing asymmetrical resonance lineshapes that arise due to the interplay of diffractive coupling of the individual timer response at infrared wavelengths that overlap with the single mode regime of typical telecommunication fibers. Refractive index sensing experiments suggest sensitivities of about 390 nm/RIU, representing the state-of-the-art for such a device type. Due to its unique capability of making optical fibers compatible with planar nanostructuring technology, we anticipate our approach to be applied in numerous fields including bioanalytics, telecommunications, nonlinear photonics, optical trapping and beam shaping.

Journal ArticleDOI
TL;DR: In this article, the use of a recently developed alumina-based resist as a negative-tone resist for EBL at 100 keV and focused helium ion beam lithography (FHIBL) was investigated.

Journal ArticleDOI
TL;DR: The method adopted in this study, namely ultra-precision side milling (UPSM), is very promising for the deterministic fabrication of hybrid micro-optics on infrared materials.
Abstract: Hybrid micro-optics of infrared (IR) materials are of great advantage in realizing the function integration and minimization of advanced IR optical systems. However, due to the hard-and-brittle nature of IR materials, it is still challenging for both non-mechanical and mechanical technologies to achieve one-step generation of hybrid infrared micro-optics with high form accuracy. In the present study, a flexible method, namely ultra-precision side milling (UPSM), is first introduced to achieve one-step generation of infrared hybrid micro-optics in ductile mode, and the corresponding reflective diffraction characteristics are analyzed. In UPSM, the reflective/refractive primary surface of the hybrid micro-optics is formed via the removal of workpiece material, and the high-frequent secondary diffractive micro/nanostructures are simultaneously generated by the tool residual marks of cutting trajectories. With the consideration of the changing curvature of the primary surface, the optimal toolpath generation strategy is introduced to acquire the desired shapes of the secondary micro/nanostructures, and the selecting criteria of the machining parameters is discussed to avoid the brittle fractures of IR materials. In practice, two types of hybrid micro-optic components, namely hybrid micro-aspheric arrays and sinusoid grid surface with high-frequent secondary unidirectional phase gratings, are successfully fabricated on single-crystal silicon to validate the proposed method. The method adopted in this study is very promising for the deterministic fabrication of hybrid micro-optics on infrared materials.

Journal ArticleDOI
TL;DR: A biocompatible, DNA-based resist termed "Bephore", which is based on commercially available components and can be patterned by both photo- and electron-beam lithography, and demonstrates compartmentalized, on-chip gene expression from three sequentially immobilized DNA templates, leading to three spatially resolved protein-expression gradients.
Abstract: Lithographic patterning of DNA molecules enables spatial organization of cell-free genetic circuits under well-controlled experimental conditions. Here, we present a biocompatible, DNA-based resist termed "Bephore", which is based on commercially available components and can be patterned by both photo- and electron-beam lithography. The patterning mechanism is based on cleavage of a chemically modified DNA hairpin by ultraviolet light or electrons, and a subsequent strand-displacement reaction. All steps are performed in aqueous solution and do not require chemical development of the resist, which makes the lithographic process robust and biocompatible. Bephore is well suited for multistep lithographic processes, enabling the immobilization of different types of DNA molecules with micrometer precision. As an application, we demonstrate compartmentalized, on-chip gene expression from three sequentially immobilized DNA templates, leading to three spatially resolved protein-expression gradients.

Journal ArticleDOI
Ji Xu1, Zhuyan Gu1, Wenxin Yang1, Qilong Wang1, Xiaobing Zhang1 
TL;DR: The fabrication and electrical performance of nanoscale vacuum channel transistor (NVCT) based on graphene is reported, exhibiting an on/off current ratio up to 102 with low working voltages and leakage current, making NVCT a promising candidate for high speed applications.
Abstract: We report the fabrication and electrical performance of nanoscale vacuum channel transistor (NVCT) based on graphene. Ninety-nanometer-width vacuum nano-channel could be precisely fabricated with standard electron beam lithography process. The optimization and treatment of surface damage and adhesive residue on graphene are carried out by ultrasonic cleaning and thermal annealing. Additionally, in situ electric characteristics are directly performed inside a vacuum chamber of scanning electron microscope (SEM) with the nanomanipulator. By modulating the gate voltage, the NVCT could be switched from off-state to on-state, exhibiting an on/off current ratio up to 102 with low working voltages (< 20 V) and leakage current (< 0.5 nA). Furthermore, the nanoscale vacuum channel could enable to scale down the size of vacuum devices with high integration, making NVCT a promising candidate for high speed applications.

Journal ArticleDOI
TL;DR: A thermocouple of Au-Ni with only 2.5-μm-wide electrodes on a 30-nm-thick Si3N4 membrane was fabricated by a simple low-resolution electron beam lithography and lift off procedure and is shown to be sensitive to heat generated by laser as well as an electron beam.
Abstract: A thermocouple of Au-Ni with only 2.5-μm-wide electrodes on a 30-nm-thick Si3N4 membrane was fabricated by a simple low-resolution electron beam lithography and lift off procedure. The thermocouple is shown to be sensitive to heat generated by laser as well as an electron beam. Nano-thin membrane was used to reach a high spatial resolution of energy deposition and to realise a heat source of sub-1 μm diameter. This was achieved due to a limited generation of secondary electrons, which increase a lateral energy deposition. A low thermal capacitance of the fabricated devices is useful for the real time monitoring of small and fast temperature changes, e.g., due to convection, and can be detected through an optical and mechanical barrier of the nano-thin membrane. Temperature changes up to ~2 × 105 K/s can be measured at 10 kHz rate. A simultaneous down-sizing of both, the heat detector and heat source strongly required for creation of thermal microscopy is demonstrated. Peculiarities of Seebeck constant (thermopower) dependence on electron injection into thermocouple are discussed. Modeling of thermal flows on a nano-membrane with presence of a micro-thermocouple was carried out to compare with experimentally measured temporal response.

Journal ArticleDOI
TL;DR: This work takes a step toward the fabrication of on-chip integrated plasmonic circuits by using electron beam lithography and metal film deposition techniques and experimentally demonstrates that AND, OR, XOR and NOT gates can be realized in three-terminal nanowire networks, and NAND, NOR and XNOR gates can been realized in four-terminals.
Abstract: Fabricating plasmonic nanowire waveguides and circuits by lithographic fabrication methods is highly desired for nanophotonic circuitry applications. Here we report an approach for fabricating metal nanowire networks by using electron beam lithography and metal film deposition techniques. The gold nanowire structures are fabricated on quartz substrates without using any adhesion layer but coated with a thin layer of Al2O3 film for immobilization. The thermal annealing during the Al2O3 deposition process decreases the surface plasmon loss. In a Y-shaped gold nanowire network, the surface plasmons can be routed to different branches by controlling the polarization of the excitation light, and the routing behavior is dependent on the length of the main nanowire. Simulated electric field distributions show that the zigzag distribution of the electric field in the nanowire network determines the surface plasmon routing. By using two laser beams to excite surface plasmons in a Y-shaped nanowire network, the output intensity can be modulated by the interference of surface plasmons, which can be used to design Boolean logic gates. We experimentally demonstrate that AND, OR, XOR and NOT gates can be realized in three-terminal nanowire networks, and NAND, NOR and XNOR gates can be realized in four-terminal nanowire networks. This work takes a step toward the fabrication of on-chip integrated plasmonic circuits.

Journal ArticleDOI
04 Dec 2018
TL;DR: In this paper, a Vogel spiral array of dielectric nanostructures for optical directional extraction enhancement of incoherent emission from optically thick phosphor-converted LEDs is proposed.
Abstract: Increasing light extraction efficiency and narrowing the angular spread of light emitted from optically thick light emitting diodes (LEDs) are desirable but difficult goals to achieve. In this paper, we design, optimize, and fabricate Vogel spiral arrays of dielectric nanostructures for optical directional extraction enhancement of incoherent emission from optically thick phosphor-converted LEDs. In order to design and optimize large-scale structures, we perform a systematic numerical investigation based on full-vector three-dimensional finite difference time domain simulations using a cloud of randomly positioned and randomly phased dipoles that approximate an incoherent Lambertian source. An analytical model based on kinematic scattering is also developed and used to parametrically study changes in the emission profile as the spiral geometry is tuned. The optimal Vogel spiral arrays are fabricated as TiO2 nanodisks atop YAG:Ce3+ blue-to-white converter layers using electron-beam lithography and reactive ion etching. Photoluminescence spectroscopy is used to experimentally measure extraction enhancement up to 2× compared to a flat reference. Finally, accurate Fourier-space (k-space) fluorescence spectroscopy is used to measure the emission intensity profile up to 54° in a single snapshot image, and we find up to a 35% enhancement in power-normalized forward emission compared to a flat reference, under remote laser excitation. The integration of optimized Vogel spiral arrays of dielectric nanoparticles with phosphor-converted LEDs will increase efficiency and narrow the directional spread of light. These effects are beneficial to a variety of active device applications, including imaging, lighting, and projecting devices that require enhanced extraction efficiency combined with directional emission.