scispace - formally typeset
Search or ask a question

Showing papers on "Electron-beam lithography published in 2021"


Journal ArticleDOI
TL;DR: Direct X-ray and electron-beam lithography at sub-50-nm resolution of MOFs is demonstrated that leaves porosity and crystallinity intact and approaches the mesopore regime.
Abstract: Metal–organic frameworks (MOFs) offer disruptive potential in micro- and optoelectronics because of the unique properties of these microporous materials. Nanoscale patterning is a fundamental step in the implementation of MOFs in miniaturized solid-state devices. Conventional MOF patterning methods suffer from low resolution and poorly defined pattern edges. Here, we demonstrate the resist-free, direct X-ray and electron-beam lithography of MOFs. This process avoids etching damage and contamination and leaves the porosity and crystallinity of the patterned MOFs intact. The resulting high-quality patterns have excellent sub-50-nm resolution, and approach the mesopore regime. The compatibility of X-ray and electron-beam lithography with existing micro- and nanofabrication processes will facilitate the integration of MOFs in miniaturized devices. The low dielectric constants and high porosity of MOFs are of interest for applications in electronics and sensors, but patterning techniques for these materials are in their infancy. Here, direct X-ray and electron-beam lithography at sub-50-nm resolution are reported that leave porosity and crystallinity intact.

82 citations


Journal ArticleDOI
TL;DR: 1550-nm continuous lasers with ~20 µW threshold and stable performance were realized in erbium-doped integrated lithium niobate microrings with loaded quality factors higher than one million.
Abstract: Lithium niobate on insulator (LNOI), regarded as an important candidate platform for optical integration due to its excellent nonlinear, electro-optic and other physical properties, has become a research hotspot. Light source, as an essential component for integrated optical system, is urgently needed. In this paper, we reported the realization of 1550-nm band on-chip LNOI microlasers based on erbium-doped LNOI ring cavities with loaded quality factors higher than one million, which were fabricated by using electron beam lithography and inductively coupled plasma reactive ion etching processes. These microlasers demonstrated a low pump threshold of ~20 {\mu}W and stable performance under the pump of a 980-nm band continuous laser. Comb-like laser spectra spanning from 1510 nm to 1580 nm were observed in high pump power regime, which lays the foundation of the realization of pulsed laser and frequency combs on rare-earth ion doped LNOI platform. This work has effectively promoted the development of on-chip integrated active LNOI devices.

42 citations


Journal ArticleDOI
TL;DR: In this paper, an approach for the fabrication of artificial superconducting weak links at the LaO/STO interface using direct high-resolution electron beam lithography and low-energy argon ion beam irradiation is described.
Abstract: The interface between two wide band-gap insulators, LaAlO3 and SrTiO3 (LAO/STO), hosts a quasi-two-dimensional electron gas (q2DEG), two-dimensional superconductivity, ferromagnetism, and giant Rashba spin-orbit coupling. The co-existence of two-dimensional superconductivity with gate-tunable spin-orbit coupling and multiband occupation is of particular interest for the realization of unconventional superconducting pairing. To investigate the symmetry of the superconducting order parameter, phase sensitive measurements of the Josephson effect are required. We describe an approach for the fabrication of artificial superconducting weak links at the LAO/STO interface using direct high-resolution electron beam lithography and low-energy argon ion beam irradiation. The method does not require lift-off steps or sacrificial layers. Therefore, resolution is only limited by the electron beam lithography and pattern transfer. We have realized superconducting weak links with a barrier thickness of 30–100 nm. The barrier transparency of the weak links can be controlled by the irradiation dose and further tuned by a gate voltage. Our results open up new possibilities for the realization of quantum devices in oxide interfaces.

40 citations


Journal ArticleDOI
TL;DR: In this paper, the authors discuss the recent advances in top-down nanofabrication methods towards single-digit-nanometer-sized structures and discuss state-of-the-art applications for sub-10 nm nanophotonics such as optical trapping or sensing devices, imaging devices, and electronic devices.
Abstract: Sub-10 nm nanostructures have received broad interest for their intriguing nano-optical phenomena, such as extreme field localization and enhancement, quantum tunneling effect, and strong coupling. The range of cutting-edge applications based on single-digit-nanometer scale structures has expanded with the development of nanofabrication technologies. However, challenges still remain in overcoming fabrication limits, such as scalability, controllability, and reproducibility for further practical applications of the sub-10 nm nanostructures. In this review, we discuss the recent advances in top-down nanofabrication methods towards single-digit-nanometer-sized structures. The well-known examples include electron beam lithography (EBL), focused ion beam (FIB) milling or lithography, atomic layer deposition (ALD), and other unconventional techniques to obtain sub-10 nm nanostructures or nanogaps. We discuss state-of-the-art applications for sub-10 nm nanophotonics such as optical trapping or sensing devices, imaging devices, and electronic devices.

32 citations


Journal ArticleDOI
25 Jun 2021-ACS Nano
TL;DR: In this article, a dual-layer photolithography (DLPL) approach is proposed for nanoscale patterning, which is based on the controlled exposure and development of overlapping positive and negative photoresists.
Abstract: Conventional photolithography, due to its scalability, robustness, and straightforward processes, has been widely applied to micro- and nanostructure manufacturing in electronics, optics, and biology. However, optical diffraction limits the ultimate resolution of conventional photolithography, which hinders its potential in nanoscale patterning for broader applications. Here, we introduce a derivative of conventional photolithography for nanoscale patterning called dual-layer photolithography (DLPL), which is based on the controlled exposure and development of overlapping positive and negative photoresists. In a typical experiment, substrates are sequentially coated by two layers of photoresists (both positive and negative). Then, we purposefully control the exposure time to generate slightly larger features in the positive photoresist than those in the negative photoresist. After development, their overlapping areas become the final features, which outline the original features. We demonstrate line widths down to 300 nm here, which can be readily improved with more precise control. By adjusting the lithography parameters and material deposition, the feature sizes, shapes (e.g., rings, numbers, letters), line widths (300-900 nm), and materials (e.g., SiO2, Cr, and Ag) of these features can be independently controlled. Combined with anisotropic etching, more complex three-dimensional nanostructures can be fabricated as well, as we demonstrate here with Si. We further fabricate photodetectors as an example application to show that these nanostructures fabricated by DLPL can be used to promote light-trapping MAPbI3 perovskite films to achieve good photoelectric properties. This strategy is not limited to ultraviolet photolithography and may also be incorporated into other energetic beam-based lithographic approaches, including deep and extreme ultraviolet photolithographies and electron beam lithography, to enhance their resolution.

27 citations


Journal ArticleDOI
TL;DR: In this paper, the first thin-film lithium niobate electro-optic modulator operating at a wavelength of 1064 nm was presented, which was fabricated from crystal-ion-sliced lithium Niobate wafers procured from NanoLN.
Abstract: Electro-optic modulators are used in a wide variety of photonic systems, and their operation at the 1064 nm wavelength is notable for its applications such as frequency comb generation and optical interconnects. This work demonstrates the first (to the best of our knowledge) thin film lithium niobate electro-optic modulator operating at a wavelength of 1064 nm. The modulator was fabricated from crystal-ion-sliced lithium niobate wafers procured from NanoLN. Electron beam lithography was used to define the waveguides, and laser lithography was used to define the electrodes, forming a ridge waveguide in the lithium niobate, with a width of 900 nm and an etch depth of 90 nm, by inductively coupled plasma etching. The modulator was characterized using a polarization rotation technique, in which two modes of the waveguide were excited and both were shown to exhibit phase modulation. This modulator exhibits a half-wave voltage of 2.73 volts, with a 7 mm long interaction region and 1.91 V-cm modulation efficiency.

15 citations



Posted ContentDOI
TL;DR: In this article, a voltage-regulated 3D EBL was used to construct functional 3D nanostructures at a resolution of sub-15 nm using recombinant spider silk proteins as resistors.
Abstract: Electron beam lithography (EBL) is renowned to provide fabrication resolution in the deep nanometer scale. One major limitation of current EBL techniques is their incapability of arbitrary 3d nanofabrication. Resolution, structure integrity and functionalization are among the most important factors. Here we report all-aqueous-based, high-fidelity manufacturing of functional, arbitrary 3d nanostructures at a resolution of sub-15 nm using our developed voltage-regulated 3d EBL. Creating arbitrary 3d structures of high resolution and high strength at nanoscale is enabled by genetically engineering recombinant spider silk proteins as the resist. The ability to quantitatively define structural transitions with energetic electrons at different depths within the 3d protein matrix enables polymorphic spider silk proteins to be shaped approaching the molecular level. Furthermore, genetic or mesoscopic modification of spider silk proteins provides the opportunity to embed and stabilize physiochemical and/or biological functions within as-fabricated 3d nanostructures. Our approach empowers the rapid and flexible fabrication of heterogeneously functionalized and hierarchically structured 3d nanocomponents and nanodevices, offering opportunities in biomimetics, therapeutic devices and nanoscale robotics. Electron beam lithography (EBL) is renowned to provide fabrication resolution in the deep nanometer scale but their incapability of arbitrary 3D nanofabrication poses a major limitation to the technique. Here, the authors demonstrate a manufacturing technique of functional 3d nanostructures at a resolution of sub-15 nm using voltage-regulated 3d EBL.

13 citations


Journal ArticleDOI
03 May 2021
TL;DR: In this paper, an advanced quantum dot molecule (QDM) device was realized, which includes electrically controllable QDMs based on stacked quantum dots in a pin-diode structure.
Abstract: Self-organized semiconductor quantum dots represent almost ideal two-level systems, which have strong potential to applications in photonic quantum technologies. For instance, they can act as emitters in close-to-ideal quantum light sources. Coupled quantum dot systems with significantly increased functionality are potentially of even stronger interest since they can be used to host ultra-stable singlet-triplet spin qubits for efficient spin-photon interfaces and for a deterministic photonic 2D cluster-state generation. We realize an advanced quantum dot molecule (QDM) device and demonstrate excellent optical properties. The device includes electrically controllable QDMs based on stacked quantum dots in a pin-diode structure. The QDMs are deterministically integrated into a photonic structure with a circular Bragg grating using in-situ electron beam lithography. We measure a photon extraction efficiency of up to (24$\pm$4)% in good agreement with numerical simulations. The coupling character of the QDMs is clearly demonstrated by bias voltage dependent spectroscopy that also controls the orbital couplings of the QDMs and their charge state in quantitative agreement with theory. The QDM devices show excellent single-photon emission properties with a multi-photon suppression of $g^{(2)}(0) = (3.9 \pm 0.5) \cdot 10^{-3}$. These metrics make the developed QDM devices attractive building blocks for use in future photonic quantum networks using advanced nanophotonic hardware.

12 citations


Journal ArticleDOI
22 Jan 2021
TL;DR: In this paper, an approach for nanoscale patterning of zinc oxide (ZnO) using electron beam (e-beam) lithography for future nanoelectronic devices and for hard lithographic masks is presented.
Abstract: An approach is presented for nanoscale patterning of zinc oxide (ZnO) using electron beam (e-beam) lithography for future nanoelectronic devices and for hard lithographic masks. Zinc acetate (Zn4O(...

12 citations


Journal ArticleDOI
22 Apr 2021
TL;DR: In this paper, the current need for resist materials for patterning transistors with ultralow nodes has been addressed and a quest for developing resists with improved performance for nanoscale patterning with goo...
Abstract: Given the current need for resist materials for patterning transistors with ultralow nodes, there has been a quest for developing resists with improved performance for nanoscale patterning with goo...

Journal ArticleDOI
TL;DR: In this article, high-resolution TERS imaging reveals a structurally modified 5-10 nm strip of disordered graphene at the edge of the GNRs and reveals the presence of nanoscale organic contaminants.


Journal ArticleDOI
TL;DR: In this article, the authors reported the realization of 1550 nm band on-chip LNOI microlasers based on erbium-doped ring cavities with loaded quality factors higher than 1 million at ∼970nm.
Abstract: Lithium niobate on insulator (LNOI), regarded as an important candidate platform for optical integration due to its excellent nonlinear, electro-optic, and other physical properties, has become a research hotspot. A light source, as an essential component for an integrated optical system, is urgently needed. In this Letter, we reported the realization of 1550 nm band on-chip LNOI microlasers based on erbium-doped LNOI ring cavities with loaded quality factors higher than 1 million at ∼970nm, which were fabricated by using electron beam lithography and inductively coupled plasma reactive ion etching processes. These microlasers demonstrated a low pump threshold of ∼20µW and stable performance under the pump of a 980 nm band continuous laser. Comb-like laser spectra spanning from 1510 to 1580 nm were observed in a high pump power regime, which lays the foundation of the realization of pulsed laser and frequency combs on a rare-earth ion-doped LNOI platform. This Letter effectively promotes the development of on-chip integrated active LNOI devices.

Journal ArticleDOI
19 Oct 2021-ACS Nano
TL;DR: In this article, a multicolor patterning of two-dimensional nanoplatelets (NPLs) via two sequential self-assembly and direct electron-beam lithography steps is presented.
Abstract: Nanocrystal micro/nanoarrays with multiplexed functionalities are of broad interest in the field of nanophotonics, cellular dynamics, and biosensing due to their tunable electrical and optical properties. This work focuses on the multicolor patterning of two-dimensional nanoplatelets (NPLs) via two sequential self-assembly and direct electron-beam lithography steps. By using scanning electron microscopy, atomic force microscopy, and fluorescence microscopy, we demonstrate the successful fabrication of fluorescent nanoarrays with a thickness of only two or three monolayers (7-11 nm) and a feature line width of ∼40 nm, which is three to four NPLs wide. To this end, first, large-area thin films of red-emitting CdSe/ZnyCd1-yS and green-emitting CdSe1-xSx/ZnyCd1-yS core/shell NPLs are fabricated based on Langmuir-type self-assembly at the liquid/air interface. By varying the concentration of ligands in the subphase, we investigate the effect of interaction potential on the film's final characteristics to prepare thin superlattices suitable for the patterning step. Equipped with the ability to fabricate a uniform superlattice with a controlled thickness, we next perform nanopatterning on a thin film of NPLs utilizing a direct electron-beam lithography (EBL) technique. The effect of acceleration voltage, aperture size, and e-beam dosage on the nanopattern's resolution and fidelity is investigated for both of the presented NPLs. After successfully optimizing EBL parameters to fabricate single-color nanopatterns, we finally focus on fabricating multicolor patterns. The obtained micro/nanoarrays provide us with an innovative experimental platform to investigate biological interactions as well as Forster resonance energy transfer.

Journal ArticleDOI
TL;DR: In this paper, a dual-band grating coupler fabricated using 193 nm deep-ultraviolet lithography for 10 Gbit symmetric passive optical networks is presented. But the efficiency of the coupler is limited by the wavelength-dependent radiation angle.
Abstract: Surface grating couplers are fundamental building blocks for coupling the light between optical fibers and integrated photonic devices. However, the operational bandwidth of conventional grating couplers is intrinsically limited by their wavelength-dependent radiation angle. The few dual-band grating couplers that have been experimentally demonstrated exhibit low coupling efficiencies and rely on complex fabrication processes. Here we demonstrate for the first time, to the best of our knowledge, the realization of an efficient dual-band grating coupler fabricated using 193 nm deep-ultraviolet lithography for 10 Gbit symmetric passive optical networks. The footprint of the device is 17×10µm2. We measured coupling efficiencies of −4.9 and −5.2dB with a 3-dB bandwidth of 27 and 56 nm at the wavelengths of 1270 and 1577 nm, corresponding to the upstream and downstream channels, respectively.

Journal ArticleDOI
TL;DR: In this paper, a large-area fabrication process for optical metasurfaces utilizing reusable SiN on Si nanostencils was demonstrated. But the performance of this method was limited due to the high computational complexity.
Abstract: We demonstrate a large-area fabrication process for optical metasurfaces utilizing reusable SiN on Si nanostencils. To improve the yield of the nanostencil fabrication, we partially etch the front-side SiN layer to transfer the metasurface pattern from the resist to the nanostencil membrane, preserving the integrity of the membrane during the subsequent potassium hydroxide etch. To enhance the reliability and resolution of metasurface fabrication using the nanostencil, we spin coat a sacrificial layer of resist to precisely determine the gap between the nanostencil and the metasurface substrate for the subsequent liftoff. 1.5 mm diameter PbTe meta-lenses on ${\rm{Ca}}{{\rm{F}}_2}$ fabricated using nanostencils show diffraction-limited focusing and focusing efficiencies of 42% for a 2 mm focal length lens and 53% for a 4 mm focal length lens. The nanostencils can also be cleaned using chemical cleaning methods for reuse.

Journal ArticleDOI
18 Mar 2021
TL;DR: In this paper, a flexible and deterministic manufacturing scheme based on precise and convenient cathodoluminescence spectroscopy followed by high-resolution electron-beam lithography is introduced.
Abstract: The application of solid-state quantum emitters in real-world quantum information technologies requires precise nanofabrication platforms with high process yield. Self-assembled semiconductor quantum dots with excellent emission properties have proven to be among the best candidates to meet the needs of a number of novel quantum photonic devices. However, their spatial and spectral positions vary statistically on a scale that is far too large for their system integration via fixed lithography and inflexible processing schemes. We solve this severe problem by introducing a flexible and deterministic manufacturing scheme based on precise and convenient cathodoluminescence spectroscopy followed by high-resolution electron-beam lithography. The basics and application examples of this advanced in situ electron-beam lithography are described in this article. Although we focus here on quantum dots as photon emitters, this nanotechnology concept is very well suited for the fabrication of a variety of quantum nanophotonic devices based on quantum emitters that exhibit suitably strong cathodoluminescence signals.

Journal ArticleDOI
TL;DR: In this paper, the principals and operations of friction-induced nanofabrication, including frictioninduced selective etching, and the applications were reviewed as well for looking ahead at opportunities and challenges with nanotechnology development.
Abstract: As the bridge between basic principles and applications of nanotechnology, nanofabrication methods play significant role in supporting the development of nanoscale science and engineering, which is changing and improving the production and lifestyle of the human. Photo lithography and other alternative technologies, such as nanoimprinting, electron beam lithography, focused ion beam cutting, and scanning probe lithography, have brought great progress of semiconductor industry, IC manufacturing and micro/nanoelectromechanical system (MEMS/NEMS) devices. However, there remains a lot of challenges, relating to the resolution, cost, speed, and so on, in realizing high-quality products with further development of nanotechnology. None of the existing techniques can satisfy all the needs in nanoscience and nanotechnology at the same time, and it is essential to explore new nanofabrication methods. As a newly developed scanning probe microscope (SPM)-based lithography, friction-induced nanofabrication provides opportunities for maskless, flexible, low-damage, low-cost and environment-friendly processing on a wide variety of materials, including silicon, quartz, glass surfaces, and so on. It has been proved that this fabrication route provides with a broad application prospect in the fabrication of nanoimprint templates, microfluidic devices, and micro/nano optical structures. This paper hereby involved the principals and operations of friction-induced nanofabrication, including friction-induced selective etching, and the applications were reviewed as well for looking ahead at opportunities and challenges with nanotechnology development. The present review will not only enrich the knowledge in nanotribology, but also plays a positive role in promoting SPM-based nanofabrication.

Journal ArticleDOI
TL;DR: In this article, dry anisotropic etching of multilayer 2D materials with sulfur hexafluoride (SF6) may overcome some of the issues of top-down lithography processes.
Abstract: Nanostructuring allows altering of the electronic and photonic properties of two-dimensional (2D) materials. The efficiency, flexibility, and convenience of top-down lithography processes are, however, compromised by nanometer-scale edge roughness and resolution variability issues, which especially affect the performance of 2D materials. Here, we study how dry anisotropic etching of multilayer 2D materials with sulfur hexafluoride (SF6) may overcome some of these issues, showing results for hexagonal boron nitride (hBN), tungsten disulfide (WS2), tungsten diselenide (WSe2), molybdenum disulfide (MoS2), and molybdenum ditelluride (MoTe2). Scanning electron microscopy and transmission electron microscopy reveal that etching leads to anisotropic hexagonal features in the studied transition metal dichalcogenides, with the relative degree of anisotropy ranked as: WS2 > WSe2 > MoTe2 ∼ MoS2. Etched holes are terminated by zigzag edges while etched dots (protrusions) are terminated by armchair edges. This can be explained by Wulff constructions, taking the relative stabilities of the edges and the AA' stacking order into account. Patterns in WS2 are transferred to an underlying graphite layer, demonstrating a possible use for creating sub-10 nm features. In contrast, multilayer hBN exhibits no lateral anisotropy but shows consistent vertical etch angles, independent of crystal orientation. Using an hBN crystal as the base, ultrasharp corners can be created in lithographic patterns, which are then transferred to a graphite crystal underneath. We find that the anisotropic SF6 reactive ion etching process makes it possible to downsize nanostructures and obtain smooth edges, sharp corners, and feature sizes significantly below the resolution limit of electron beam lithography. The nanostructured 2D materials can be used themselves or as etch masks to pattern other nanomaterials.

Proceedings ArticleDOI
24 Aug 2021
TL;DR: In this article, the ESCAPE NASA Small Explorer (Univ. of Colorado/Boulder) was used to write straight grooves on a spherically curved substrate for the DEUCE sounding rocket payload.
Abstract: Diffraction gratings used in ultraviolet astronomical spectrographs have been made using mechanical ruling or interference lithography. However, required performance for newly developed EUV (10-90 nm) and FUV (100-180 nm) spectrographs can benefit from groove densities, blaze angles, and low-scatter enabled with electron-beam lithography patterning and chemical etching. We report on the fabrication of custom grating prototypes developed at the Nanofabrication Laboratory at Penn State University. The gratings in development for the ESCAPE NASA Small Explorer (Univ. of Colorado/Boulder) involve writing specific patterns of curved grooves with variable line density on flat substrates. The design of the grating within the DEUCE sounding rocket payload involves writing straight grooves on a spherically curved substrate. All gratings are subsequently etched to achieve the specified blaze in the silicon. These efforts are enabling new applications in the field of astronomical UV spectroscopy.

Journal ArticleDOI
TL;DR: In this article, two different approaches for the fabrication of metallic nanostructures based on e-beam lithography are discussed, and the results reported therein should guide the researcher to choose the best suited approach for a given application, depending on the available technology.
Abstract: After providing a detailed overview of nanofabrication techniques for plasmonics, we discuss in detail two different approaches for the fabrication of metallic nanostructures based on e-beam lithography. The first approach relies on a negative e-beam resist, followed by ion beam milling, while the second uses a positive e-beam resist and lift-off. Overall, ion beam etching provides smaller and more regular features including tiny gaps between sub-parts, that can be controlled down to about 10 nm. In the lift-off process, the metal atoms are deposited within the resist mask and can diffuse on the substrate, giving rise to the formation of nanoclusters that render the nanostructure outline slightly fuzzy. Scattering cross sections computed for both approaches highlight some spectral differences, which are especially visible for structures that support complex resonances, such as Fano resonances. Both techniques can produce useful nanostructures and the results reported therein should guide the researcher to choose the best suited approach for a given application, depending on the available technology.

Journal ArticleDOI
01 Jul 2021-Small
TL;DR: In this article, a van der Waals (vdW) stencil lithography technique based on dry mask lamination process is developed, which ensures pristine 2D surface without any high energy electron/photon radiation, polymer residues, or chemical doping effects in conventional lithography process; and the soft nature of PMMA enables intimate contact between the mask and the 2D materials without physical gap, leading to ultra-high resolution down to 60 nm.
Abstract: 2D semiconductors have attracted tremendous attention as an atomically thin channel for transistors with superior immunity to short-channel effects. However, with atomic thin structure, the delicate 2D lattice is not fully compatible with conventional lithography processes that typically involve high-energy photon/electron radiation and unavoidable polymer residues, posing a key limitation for high performance 2D transistors. Here, a novel van der Waals (vdW) stencil lithography technique based on dry mask lamination process is developed. By pre-fabricating polymethyl methacrylate (PMMA) resist with designed patterns, the whole PMMA mask layers could be mechanically released from the sacrifice wafer and physically laminated on top of various 2D semiconductors. The vdW stencil lithography ensures pristine 2D surface without any high-energy electron/photon radiation, polymer residues, or chemical doping effects in conventional lithography process; and the soft nature of PMMA enables intimate contact between the mask and the 2D materials without physical gap, leading to ultra-high resolution down to 60 nm. Together, by applying vdW stencil lithography for 2D semiconductors, high performance transistors are demonstrated. Our method not only demonstrates improved 2D transistor performance without lithography induced damages, but also provides a new vdW stencil lithography technique for 2D materials with high resolution.

Journal ArticleDOI
TL;DR: In this article, a varied line spacing (VLS) and uniform integrated reflective plane grating was inscribed by electron beam lithography (EBL) and reactive ion etching method, and its displacement sensing characteristics was tested.

Journal ArticleDOI
01 Nov 2021
TL;DR: In this article, the authors give an overview of the techniques established for T shape gates, aiming at categorizing various processes for different gates and giving comments on their advantages and limitations for particular application.
Abstract: High electron mobility transistors (HEMTs) are the basic building block in microwave monolithic integration circuits (MMICs) for broad applications in micrometer (0.3–100 GHz), millimeter (100–300 GHz) and tera [ 1 ] hertz (300 GHz–10 THz) wave. The key part in HEMT is the nanoscale T shape gates whose foot-width characterizes the frequency regime of the device, for which modern nanolithography techniques are required. Since the first T shape gate was proposed in early 1980s, which has been proved to be the best configuration among others, a big variety of fabrication processes have been developed to meet various kinds of needs by the applications. For pattern generation, various lithography techniques such as optical lithography, interference lithography, X-ray lithography, nanoimprint lithography, and electron beam lithography have been applied. For pattern transfer, film deposition and dry etch are involved. For the geometry configuration of the gates, both symmetry and asymmetry T shape gates, Y shape gates, T shape gates with broad heads and field plate gates have been proposed. In the layer stack of resists, single layer, bilayer, trilayer and multilayer, exposed by one step, two step and multistep with high precision registration, have been developed. It can be seen that the whole history of technical development for T shape gates actually reflects the advance of nanofabrication technique as a whole in the past four decades. This paper is dedicated to give an overview of the techniques established for T shape gates, aiming at categorizing various processes for different gates and giving comments on their advantages and limitations for particular application. Based on the technical foundation established so far for T shape gates applied in III-V HEMTs, the further development has been briefly discussed. In the preparation for this reviewing paper, every effort has been made to cover as many processes as possible. But, it is still impossible to address every corner of this vast nanofabrication area. For example, the current on-going work for T shape gates in GaN HEMTs is not included in this review and it is expected a better one could come to the light shortly.

Journal ArticleDOI
Xiaofeng Yi1, Di Wang1, Fan Li1, Jian Zhang1, Lei Zhang1 
TL;DR: The here developed bixbyite-type In12-oxo cluster starts the research on indium-based patterning materials and provides a new platform for future lithography radiation mechanism studies.
Abstract: Indium oxides have been widely applied in many technological areas, but their utilization in lithography has not been developed. Herein, we illustrated a family of unprecedented In12-oxo clusters with a general formula [In12(μ4-O)4(μ2-OH)2(OCH2CH2NHCH2CH2O)8(OR)4X4]X2 (where X = Cl or Br; R = CH3, C6H4NO2 or C6H4F), which not only present the largest size record in the family of indium-oxo clusters (InOCs), but also feature the first molecular model of bixbyite-type In2O3. Moreover, through the labile coordination sites of the robust diethanolamine-stabilized In12-oxo core, these InOCs can be accurately functionalized with different halides and alcohol or phenol derivatives, producing tunable solubility. Based on the high solution stability as confirmed by ESI-MS analysis, homogeneous films can be fabricated using these In12-oxo clusters by the spin-coating method, which can be further used for electron beam lithography (EBL) patterning studies. Accordingly, the above structural regulations have significantly influenced their corresponding film quality and patterning performance, with bromide or p-nitrophenol functionalized In12-oxo clusters displaying better performance of sub-50 nm lines. Thus, the here developed bixbyite-type In12-oxo cluster starts the research on indium-based patterning materials and provides a new platform for future lithography radiation mechanism studies.

Journal ArticleDOI
TL;DR: In this paper, the p-and n-doping levels can be precisely controlled by the annealing temperature (time) and injection Cullen density, respectively, which can realize degenerate-like conduction behavior.

Journal ArticleDOI
TL;DR: In this paper, the effect of electron dose on microscale polymerization of ammonium- and imidazolium-based ionic liquids (ILs) with different numbers of polymerizable groups was investigated.

Journal ArticleDOI
TL;DR: In this paper, the surface ligand effect on the patterning performance of non-alkyl tin-oxo clusters has been investigated and the influence of structural characteristics on resolution and sensitivity was investigated.
Abstract: Nanolithography plays crucial roles in the miniaturization of dense integrated circuit, which extremely depends on innovative resist materials. Recently, metal-containing resists have been explored due to their higher short-wavelength photon absorption than traditional polymer resists. Herein, for the first time, the patterning performance of non-alkyl tin-oxo clusters has been evaluated. Meanwhile, the influence of structural characteristics on resolution and sensitivity has been investigated. To evaluate the surface ligand effect, three non-alkyl Sn10-oxo clusters with the same core were functionalized with pyrazole, 3-methylpyrazole and 4-methylpyrazole, respectively. Furthermore, another Sn14-oxo cluster with similar core configuration was also prepared using 4-methylpyrazole ligand to study the influence of Sn nuclearity. Spin-coating method was then applied to fabricate thin films of these non-alkyl tin-oxo clusters on Si substrate, which showed various thicknesses and roughnesses. More interestingly, electron beam lithography (EBL) patterning studies indicated that for the same Sn10 core, the 4-methylpyrazole-decorated clusters showed the best performance. As for the different cluster cores with the same 4-methylpyrazole ligand, the patterns of Sn10 with the higher ligand: Sn ratio are also better than those of Sn14. Finally, distinguishable 50 nm resolution was achieved by 4-methylpyrazole-decorated Sn10 at expose energy of 100 µC/cm2 which can be significantly improved by increasing expose energy to 1,000 µC/cm2 as confirmed by atomic force microscopy (AFM) images. This work not only opens the nanolithography applications of non-alkyl tin-oxo clusters, but also provides an effective structural methodology for improving their patterning performance in future.

Journal ArticleDOI
TL;DR: In this paper, a method based on directed molecular self-assembly was introduced to manufacture and electrically characterise C-shape gold nanowires which clearly deviate from typical linear shape due to the design of the template guiding the assembly.
Abstract: We introduce a method based on directed molecular self-assembly to manufacture and electrically characterise C-shape gold nanowires which clearly deviate from typical linear shape due to the design of the template guiding the assembly. To this end, gold nanoparticles are arranged in the desired shape on a DNA-origami template and enhanced to form a continuous wire through electroless deposition. C-shape nanowires with a size below 150nm on a [Formula: see text] substrate are contacted with gold electrodes by means of electron beam lithography. Charge transport measurements of the nanowires show hopping, thermionic and tunneling transports at different temperatures in the 4.2K to 293K range. The different transport mechanisms indicate that the C-shape nanowires consist of metallic segments which are weakly coupled along the wires.