scispace - formally typeset
Search or ask a question

Showing papers on "Etching (microfabrication) published in 1982"


Journal ArticleDOI
TL;DR: The development of broad-beam ion source technology described in the companion paper (Part I) has stimulated a rapid expansion in applications to materials processing such as microfabrication and reactive ion beam etching.
Abstract: The developments in broad‐beam ion source technology described in the companion paper (Part I) have stimulated a rapid expansion in applications to materials processing. These applications are reviewed here, beginning with a summary of sputtering mechanisms. Next, etching applications are described, including microfabrication and reactive ion beam etching. The developing area of surface layer applications is summarized, and related to the existing fields of oxidation and implantation. Next, deposition applications are reviewed, including ion‐beam sputter deposition and the emerging technique of ion‐assisted vapor deposition. Many of these applications have been stimulated by the development of high current ion sources operating in the energy range of tens of hundreds of eV. It is in this energy range that ion‐activated chemical etching is efficient, self‐limiting compound layers can be grown, and the physical properties of vapor‐deposited films can be modified. In each of these areas, broad ion beam techn...

207 citations


Journal ArticleDOI
J. W. Coburn1
TL;DR: The mechanistic and parametric complexity of a plasma etching environment often causes confusion and delays in the development of a suitable plasminar etching process as mentioned in this paper, and this paper is an attempt to alleviate this problem by discussing some of the important physical and chemical phenomena and relating these phenomena to apparatus selection and operation.
Abstract: The mechanistic and parametric complexity of a plasma etching environment often causes confusion and delays in the development of a suitable plasma etching process. This paper is an attempt to alleviate this problem by discussing some of the important physical and chemical phenomena and, where possible, relating these phenomena to apparatus selection and operation.

178 citations


Journal ArticleDOI
TL;DR: In this article, a combination of analytical techniques (spectroscopic ellipsometry, Auger spectroscopy, and scanning electron microscopy) have been used to study the etch rates, the surface composition and morphology.
Abstract: Hydrogen plasmas have been used to etch surfaces of semiconducting materials (e.g., GaAs, GaSb, InP, Si), their oxides, and Si nitride. Using a combination of analytical techniques—spectroscopic ellipsometry, Auger spectroscopy, and scanning electron microscopy (SEM), the etch rates, the surface composition and morphology have been studied. It is demonstrated that the selective etching rate of hydrogen plasma for Si over SiO2 is ∠30, and that for GaAs oxide over GaAs is ∠2. It is also shown that the hydrogen plasma etched (and air exposed) GaAs surfaces have a Ga/As concentration ratio nearly equal to that of the air cleaved GaAs surface. Similar results have also been obtained for GaSb. Hydrogen plasma etched InP shows surface segregation and is rich in In. The etch rates of the semiconductors and their oxides vary by several orders of magnitude from compound to compound as determined from ellipsometry and SEM. It is also demonstrated that scanning ellipsometry can be used to monitor surface etching proc...

140 citations


Patent
29 Mar 1982
TL;DR: In this paper, a large area random and mosaic arrays of identical submicron microcolumnar structures can be produced on surfaces by directionally ion etching a monolayer film of spherical colloidal particles.
Abstract: Large area random and mosaic arrays of identical submicron microcolumnar structures can be produced on surfaces by directionally ion etching a monolayer film of spherical colloidal particles.

129 citations


Journal ArticleDOI
TL;DR: In this paper, the hydrogen contents and etch rates have been measured for plasma-deposited silicon nitrides made in nine different commercially available reactors as well as for some low pressure chemical vapor deposited Nitrides.
Abstract: The hydrogen contents and etch rates have been measured for plasma‐deposited silicon nitrides made in nine different commercially available reactors as well as for some low pressure chemical vapor deposited nitrides. The hydrogen contents vary from 4% to 39% (atomic). A correlation is observed between etch rate and hydrogen content, with etch rates varying over three orders of magnitude.

128 citations


Journal ArticleDOI
TL;DR: In this paper, the authors showed that etching in a chlorine plasma leaves multiple layer coverage of on (removable by washing with deionized water), and submonolayer levels of chlorine on.
Abstract: and etching in chlorine plasmas at 0.3 Torr follows an Arrhenius dependence on substrate temperature. Apparent activation energies, , of and , respectively, were determined from both optical emission of product species, and step height or weight change measurements. For , equals the heat of vaporization of , and the absolute etch rate (7 μm/min at 250°C) is in reasonable agreement with the predicted vaporization rate of . Hence, volatilization of is most likely the rate‐controlling step for etching . Sputter Auger analysis shows that etching in a chlorine plasma leaves multiple layer coverage of on (removable by washing with deionized water), and submonolayer levels of chlorine on . Both surfaces are rich in the group III element. The etched surface morphologies of and are strongly dependent on temperature, exhibiting a rough‐to‐smooth texture transition above ~250° and ~120°C, respectively.

114 citations


Journal ArticleDOI
TL;DR: In this paper, a kinetic model of the plasma-etching process was developed to describe the etching of Si and SiO2 in CnFm/O2 and Cn Fm/H2 plasmas.
Abstract: A kinetic model of the plasma‐etching process has been developed to describe the etching of Si and SiO2 in CnFm/O2 and CnFm/H2 plasmas (CnFm ≡CF4, C2F6). The model has obtained good agreement with experiment for demonstrating the selective etching of SiO2 in CnFm/H2 plasmas, and the enhancement of the etch rate of Si in CnFm/O2 plasmas. Good agreement is also obtained with mass spectroscopic measurements of neutral species from a CF4/H2 plasma. Results from the model indicate that the adsorption of atomic hydrogen on silicon surfaces from CnFm/H2 plasmas, which then reacts with adsorbed fluorine, can significantly effect the selectivity of etching SiO2 with respect to Si. Similarly, the adsorption of atomic oxygen, which then reacts with adsorbed carbon thereby cleansing the surface, may be responsible for the large etch rates of Si seen in CnFm/O2 plasmas. The selectivity of etching SiO2 in CnFm/H2 plasmas has been found to be a sensitive function of the C/F ratio of the carbon‐bearing molecules which de...

113 citations


Journal ArticleDOI
TL;DR: In this paper, a simple chemically enhanced sputtering model is proposed to account for the main features of ion-induced etching, such as chemical identity of bombarding ions, flux of ions and neutrals to a surface, and ejection of products from a surface.
Abstract: Fundamental aspects of plasma‐assisted etching processes can be investigated in a well‐defined and controllable manner by ion‐beam techniques. We review here our experiments aimed at simulating a plasma environment using beams to investigate the effects of a number of fundamental parameters of plasma processes, such as the chemical identity of bombarding ions, flux of ions and neutrals to a surface, and ejection of products from a surface. Enhanced ion etching due to reactions of neutral gases with surfaces is demonstrated, and product ejection mechanisms are shown to be possible rate limiting factors in reactive etching processes. A simple chemically enhanced sputtering model suffices to account for the main features of ion‐induced etching. Applications of this model to bulk plasma processes, dependence of etch yield on ion angle of incidence, and surface composition modification are suggested.

106 citations


Journal ArticleDOI
TL;DR: In this article, a focused laser beam was used to perform localized etching on Si and several ceramic materials submerged in an aqueous potassium hydroxide solution, accompanied by localized melting of the material whenever high material removal rates are observed.
Abstract: We have used a focused laser beam to perform localized etching on 〈111〉 Si and several ceramic materials submerged in an aqueous potassium hydroxide solution. The etching process is accompanied by localized melting of the material whenever high material removal rates are observed. Mil sized blind holes, through holes, and slots have been fabricated. Instantaneous etch rates as high as 200 μm/s have been observed in alumina/TiC ceramic for 1‐W of incident laser power (∼106 W/cm2). An average rate of a 15 μm/s has been observed in the etching of through holes in 10‐mil silicon with 15 W of incident laser power (∼107 W/cm2).

97 citations


Journal ArticleDOI
TL;DR: In this paper, wet and dry etching techniques for GaInAsP/InP laser structures allow the reproducible fabrication of planar and vertical walled facets and grooves.
Abstract: Recent advances in wet and dry etching techniques for GaInAsP/InP laser structures allow the reproducible fabrication of planar and vertical walled facets and grooves These elements provide efficient mirrors and interstage couplers that may provide the basis for a new generation of monolithic integrated optical devices Initial experimental results on etched facet lasers and groove-coupled two-section lasers verify theoretical expectations

97 citations


Journal ArticleDOI
TL;DR: In this article, the fundamental processes involved in laserenhanced gas-surface chemistry with particular emphases on aspects directly related to chemical etching of solids are discussed, i.e., adsorption, product formation, and desorption affected by the presence of the laser radiation field.
Abstract: Chemical reactions in homogeneous systems activated by laser radiation have been extensively investigated for many years. The applications of lasers to promote gas–surface interactions have just begun to be realized. The purpose of the paper is to examine the fundamental processes involved in laser‐enhanced gas–surface chemistry with particular emphases on aspects directly related to chemical etching of solids. Specifically, three basic surface processes, i.e., adsorption, product formation, and desorption affected by the presence of the laser radiation field, are discussed. Current studies on laser‐induced chemical etching including etch rates, spatial resolution, directionality, and selectivity are reviewed. Examples to illustrate the basic laser‐stimulated processes and possible applications of the laser technique to material processing are also given.

Journal ArticleDOI
TL;DR: In this article, a laser-based technique for rapid, anisotropic etching of compound semiconductors is described, and holes for through-wafer vias and high-resolution diffraction gratings have been made with the process.
Abstract: A laser‐based technique for rapid, anisotropic etching of compound semiconductors is described. Both holes for through‐wafer vias and high‐resolution diffraction gratings have been made with the process.

Journal ArticleDOI
TL;DR: SiO2 etching resulting from reaction with impinging Si or substrate Si was clearly observed in an ultra-high vacuum by using step measurement, Auger electron spectroscopy and/or ellipsometry.
Abstract: SiO2 etching resulting from reaction with impinging Si or substrate Si was clearly observed in an ultra-high vacuum by using step measurement, Auger electron spectroscopy and/or ellipsometry. In etching by impinging Si, the etching rate decreases with the substrate temperature, and increases with the Si impinging rate. These results are explained by a model proposed here that involves Si adsorption on SiO2, and a subsequent chemical reaction. It was found that SiO2 films thinner than 2.5 nm are etched by the same reaction with substrate Si, whereas those thicker than 2.5 nm are not etched at all, since reaction products cannot diffuse through the SiO2 film to the vacuum. These phenomena can be used in Si molecular beam epitaxy.

Journal ArticleDOI
TL;DR: In this paper, the relative density of Cl+2 in a chlorine radio frequency discharge as a function of pressure, flow, power, frequency, and distance from the electrodes was determined.
Abstract: Laser‐induced fluorescence has been used to determine the relative density of Cl+2 in a chlorine radio frequency discharge as a function of pressure, flow, power, frequency, and distance from the electrodes. The conditions studied correspond closely to those used in anisotropic plasma etching of materials such as Si and InP. This technique provides quantitative data on ion densities over a wide range of parameters, as contrasted with qualitative methods, such as optical emission.

Journal ArticleDOI
TL;DR: In this article, a thermal model has been developed to describe the observed effects over the entire overpotential (polarization) curve of a focused laser beam to define the localized plating or etching region.
Abstract: We have developed experimental electroplating, electrodeless plating, and etching techniques that use a focused laser beam to define the localized plating or etching region. Enhancements in plating (etching) rates up to ≅1O3 to 1O4, compared to background rates, have been observed in the region of laser irradiation. A thermal model has been developed to describe the observed effects over the entire overpotential (polarization) curve. In the low overpotential region the enhancement is dominated by the increase in the local charge-transfer kinetics due to the local increase in temperature produced by absorption of the laser energy by the cathode (anode). At higher overpotentials, in the mass-transport-limited region, the main enhancement occurs due to hydrodynamic stirring caused by the the large local temperature gradients. Examples of gold, nickel, and copper electroplating are described to illustrate the value of this technique for micron-sized circuit personalization and repair. Additional examples of electroless laser-enhanced plating and exchange plating are also described.

Journal ArticleDOI
TL;DR: In this paper, a bird's beak free and fully recessed local oxidation-isolation structure employing only conventional LSI processing techniques is presented, where no additional masking step is required.
Abstract: This paper presents a bird's beak free and fully recessed local oxidation-isolation structure employing only conventional LSI processing techniques; no additional masking step is required. A SideWAll Masked Isolation (SWAMI) process employing anisotropic plasma silicon etching and anisotropic plasma silicon nitride etching was implemented to form this new isolation structure. The SWAMI isolation scheme almost completely eliminates the reduction in effective channel width from drawn mask dimensions. The effective channel width obtained with the SWAMI isolation structure is independent of field-oxide thickness unlike the-conventional LOCOS process. Fabrication technology and device characteristics of MOSFET's fabricated with the SWAMI isolation structure will be compared with the conventional LOCOS isolated MOSFET's.

Patent
Kazuo Takahashi1
06 Apr 1982
TL;DR: A semiconductor printing apparatus eliminates the alignment error caused by any relative deformation between the mask and the wafer due to variations in parameters such as temperature change, and intermediate processing, for example, etching etc.
Abstract: A semiconductor printing apparatus eliminates the alignment error caused by any relative deformation between the mask and the wafer due to variations in parameters such as temperature change, and intermediate processing, for example, etching etc. The apparatus is characterized by the provision of a device for cooling or heating respectively and independently plural different sections of at least either of the mask and the wafer.

Patent
09 Dec 1982
TL;DR: In this paper, a processing method using a focused ion beam was proposed, which uses a focussing ion beam radiation apparatus to etch the desired depth of a sample as a function of a location.
Abstract: A processing method using a focused ion beam is proposed which uses a focused ion beam radiation apparatus. When a specimen is irradiated with the focused ion beam in order to be etched, the desired etching depth of the specimen is preset as a function of a location. The ion dose of the focused ion beam, the acceleration voltage, or the etching time may be varied in accordance with the preset data.

Journal ArticleDOI
TL;DR: In this article, all hard Josephson tunnel junctions, whose base and counter electrodes are composed of double-layered niobium nitride (NbN) and Niobium films, were successfully fabricated by isolating a junction sandwich formed on a whole silicon wafer with a reactive ion etching technique.
Abstract: All hard Josephson tunnel junctions, whose base and counter electrodes are composed of double‐layered niobium nitride (NbN) and niobium (Nb) films, have been successfully fabricated by isolating a junction sandwich formed on a whole silicon wafer with a reactive ion etching technique. The reactive ion etching technique has been used for patterning both base and counterelectrodes, and self‐aligning definition of junction areas has been performed. The fabricated junctions show good quality single‐particle tunneling characteristics and excellent uniformity in critical currents.

Journal ArticleDOI
TL;DR: In this paper, the electron flux distribution was also found to show extrema as the gas mixture was changed, and the spatial variations of electron density and temperature between the electrodes were found to be a function of electrode material.
Abstract: Electrical probe measurements made in an rf plasma‐etching reactor are discussed as a function of gas mix and position in the reactor. Comparisons are made to a kinetic model for the plasma etching process. The electron density as a function of the ratio CF4/H2 and CF4/O2 was found to decrease as the fraction of CF4 decreased, although local extrema in electron density were observed. The electron flux distribution was also found to show extrema as the gas mixture was changed. The spatial variations of electron density and temperature between the electrodes were found to be a function of electrode material.

Book
01 Nov 1982
TL;DR: Czochralski-Grown Silicon as mentioned in this paper, Dendritic Web Growth of Silicon, and Principles of Chemical Etching - The Art and Science of Etching Crystals.
Abstract: Czochralski-Grown Silicon.- Dendritic Web Growth of Silicon.- Principles of Chemical Etching - The Art and Science of Etching Crystals.- Author Index Volumes 1-8.

Patent
27 Aug 1982
TL;DR: In this article, a method and apparatus for measuring etch depth during the etching of a device pattern into a nontransparent substrate, is disclosed, making use of the finding that the device patterns etched into substrates produce diffraction patterns when illuminated.
Abstract: A method and apparatus for measuring etch depth during the etching of a device pattern into a nontransparent substrate, is disclosed. The method makes use of the finding that the device patterns etched into substrates produce diffraction patterns when illuminated. Thus, according to the method, a beam of light is directed onto a region of a substrate, into which region a portion of a device pattern is being etched. The light reflected from this region forms a diffraction pattern and, according to the inventive method, the intensity of a diffraction order is detected and recorded as a function of time during the etching procedure. The intensity of the diffraction order varies with time. The etch rate of the substrate is inversely proportional to the period of the oscillations in the recorded intensity-time curve.

Patent
06 Jan 1982
TL;DR: In this article, a planar thin-film semiconductor wafers are processed simultaneously and uniformly in a relatively small chamber enveloping a vertically-stacked array of laminar electrode sub-assemblies, each of which includes a pair of oppositelyexcited electrode plates tightly sandwiching a solid insulating layer of dielectric material.
Abstract: Dry plasma etching of a plurality of planar thin-film semiconductor wafers is effected simultaneously and uniformly in a relatively small chamber enveloping a vertically-stacked array of laminar electrode sub-assemblies each of which includes a pair of oppositely-excited electrode plates tightly sandwiching a solid insulating layer of dielectric material, the parallel sub-assemblies being vertically separated to subdivide the chamber into a plurality of reactor regions where RF discharges can excite a normally inert ambient gas to develop reactive plasma for simultaneous planar plasma etching or reactive ion etching (RIE) of all wafers within the several regions. The upper plates of the electrode sub-assemblies, which support the wafers during etching, are at any instant all maintained at the same potential, whether RF or ground in the different modes of operation, and fluid coolant is forced through a distribution of internal passageways in those support plates; all lower plates of the pairs are simultaneously maintained at the opposite potential, whether ground or RF, and the intervening insulating dielectric layers in the sub-assemblies are relatively thin while at the same time providing critical electrical isolation and curbing spurious discharge without serious electrical mismatching. Uncomplicated transport of individual wafers between vertically-stacked positions in a cassette and the stacked array of etching regions is accomplished from below by a reciprocatable arm which is receivable within accommodating slots recessed into the upper cooled electrode plates alongside one edge of the stacked array; programmed vertical movements of the cassette and electrode array allow for appropriate loading and unloading of wafers, and for proper orientation of the reactor regions in relation to the enclosing chamber and associated equipment.

Journal ArticleDOI
TL;DR: In this article, laser-induced fluorescence spectroscopy was used to detect ground-state CF2 radicals in 13.56MHz discharge plasmas sustained in C2F6 and CF4 in a plasma etching reactor.
Abstract: Laser‐induced fluorescence spectroscopy was used to detect ground‐state CF2 radicals in 13.56‐MHz discharge plasmas sustained in C2F6 and CF4 in a plasma etching reactor. Measurements of the relative CF2(X) density in each plasma as a function of discharge power demonstrated that CF2 densities were significantly higher in the C2F6 plasma. These results provide the first direct observation of CF2(X) radicals in a plasma etching reactor.

Journal ArticleDOI
TL;DR: In this paper, the etching rates of GaAs and InP as a function of substrate temperature, rf power (at 55 kHz), reactor loading, flow, and time are reported.
Abstract: CCl4 plasma etching rates of GaAs and InP as a function of substrate temperature, rf power (at 55 kHz), reactor loading, flow, and time are reported. The etching‐rate dependence on temperature from 165 to 425 °C is non‐Arrhenius. Time‐dependent etching‐rate data were obtained from In and InCl plasma emission after establishing an empirical proportionality between emission intensity and etching rate. Indium ground state concentration, as measured by laser‐induced fluorescence, was found to exhibit the same time dependence as In and InCl plasma emission. For GaAs and InP (above 250 °C), etching reactions are shown to be limited by product and/or reactant diffusion through a passive chlorocarbon film. For InP below 250 °C, time‐dependent etching‐rate data suggest that this deposited film interacts with InP substrates so as to enhance the etching rate.

Patent
09 Jun 1982
TL;DR: In this article, the selective etching properties of N-type and P-type polycrystalline silicon to reduce the number of masks was used to simplify a complex process for forming an emitter.
Abstract: PURPOSE:To simplify a complex process when an aperture for forming an emitter is drilled by a method wherein the selective etching properties of N-type and P-type polycrystalline silicon to reduce the number of masks. CONSTITUTION:After a resist pattern is left on an SiO2 layer 23, a laminated layer composed of an SiO2 layer 13, an Si3N4 layer 14, 1st polycrystalline silicon layer 15 and the SiO2 layer is patterned by RIE. After the SiO2 layer 23 is removed by an NH4F solution, 2nd polycrystalline silicon layer 16 is formed. Then, As, an N-type impurity, is diffused into the 2nd polycrystalline silicon layer 16 contacted with the 1st polycrystalline silicon layer 15 to convert a part of the 2nd polycrystalline silicon layer 16 into an N-type region 26. In this heat treatment process, boron which is added to the 2nd polycrystalline silicon layer 16 is diffused into silicon to form a diffused layer 18 for leading out the base electrode of a transistor. Then, after an SiO2 layer 33 is removed, the N-type region 26 in the 2nd polycrystalline silicon layer 16 and the 1st polycrystalline silicon layer 15 are dissolved and removed by KOH solution. Then an SiO2 layer 43 is formed on the 2nd polycrystalline silicon layer 16. The SiO2 layer 43 is formed so as to have a thickness of not less than 2000 Angstrom which is four times of the thickness of the SiO2 layer 13 in order to be sufficiently left when the SiO2 layer 13 on the region where an emitter region is to be formed is removed in a process afterwards.

Patent
22 Mar 1982
TL;DR: In this paper, a gas chromatographic assembly formed on a semiconductor wafer by etching techniques is described, and an improved thermal detector for use therewith is described.
Abstract: There is described a gas chromatographic assembly formed on a semiconductor wafer by etching techniques. There is also described an improved thermal detector for use therewith.

Patent
Francine Y. Robb1
02 Aug 1982
TL;DR: In this article, a method for anisotropic etching of organic material to reduce mask undercutting is presented. But the method is limited to a single layer of an organic material and it requires an overlying patterning mask.
Abstract: A method is provided for anisotropically etching organic material to reduce mask undercutting. The layer of organic material to be patterned, with an overlying patterning mask is provided on a substrate. The substrate with the layer of organic material on it is placed on the powered electrode within a plasma reactor. A hydrogen plasma is generated in the reactor at a pressure between about 13.3 Pa and about 53 Pa. The organic layer which is not protected by the etch mask is etched by the hydrogen plasma. At these pressures the organic layer is removed by a process of ion assisted etching in which the hydrogen plasma chemically reacts with the organic material and the reaction is enhanced by ionic bombardment of the plasma species. Because the substrate and the organic material are placed on the powered electrode, the plasma ions impact the surface of the organic layer in a direction substantially perpendicular to the surface of the layer thus providing anisotropy to the etch.

Patent
05 Apr 1982
TL;DR: In this article, a plasma etching system is described, which includes a lower flange and a spaced upper flange, a chamber wall mounted between the flanges to form a closed etching chamber; a grounded wafer support plate disposed in said chamber for receiving thereon a wafer to be processed; an electrical insulating element interposed between the chamber wall and the support plate; a sintered or sintering-like porous electrode plate mounted in the chamber in spaced relationship with respect to the wafer; said plate having a gas inlet for receiving a supply
Abstract: This invention relates to a plasma etching system, which includes a lower flange and a spaced upper flange; a chamber wall mounted between the flanges to form a closed etching chamber; a grounded wafer support plate disposed in said chamber for receiving thereon a wafer to be processed; an electrical insulating element interposed between the chamber wall and the support plate; a sintered or sintered-like porous electrode plate mounted in the chamber in spaced relationship with respect to the wafer; said plate having a gas inlet for receiving a supply of etching gas; circuitry for applying an excitation voltage to this plate, and said chamber having a gas outlet leading to a vacuum source.

Journal ArticleDOI
TL;DR: In this article, the same low junction leakage and contact resistivity, 10−9 amps/cm2 and 10−6 Ω−cm2, were measured for both.
Abstract: Highly selective etching of with respect to silicon and resist is obtained by reactive ion etching in . The maximum etch rate ratio depends in a sensitive way on gas residence time with etch rate ratio increasing with decreasing residence time. The reproducibility of high etch rate ratios was found to decrease with increasing pressure. The cleanliness of etching is determined by the material of the electrode and the electrode to wall area ratio. A process was developed by optimizing etching conditions and reactor design and then was tested electrically. Contact holes were etched to 0.25 μm junctions using full RIE in and buffered . The same low junction leakage and contact resistivity, 10−9 amps/cm2and 10−6 Ω‐cm2, were measured for both. Implications for scaling RIE into larger etching reactors as well as its use to pattern features at 1 μm ground rules are discussed.