scispace - formally typeset
Search or ask a question

Showing papers on "Etching (microfabrication) published in 2012"


Journal ArticleDOI
TL;DR: Experimental and theoretical studies of porous doped silicon nanowires synthesized by direct etching of boron-doped silicon wafers show high structure stability and superior electrochemical performance and long cycle life as anode material in a lithium ion battery.
Abstract: Porous silicon nanowires have been well studied for various applications; however, there are only very limited reports on porous silicon nanowires used for energy storage. Here, we report both experimental and theoretical studies of porous doped silicon nanowires synthesized by direct etching of boron-doped silicon wafers. When using alginate as a binder, porous silicon nanowires exhibited superior electrochemical performance and long cycle life as anode material in a lithium ion battery. Even after 250 cycles, the capacity remains stable above 2000, 1600, and 1100 mAh/g at current rates of 2, 4, and 18 A/g, respectively, demonstrating high structure stability due to the high porosity and electron conductivity of the porous silicon nanowires. A mathematic model coupling the lithium ion diffusion and the strain induced by lithium intercalation was employed to study the effect of porosity and pore size on the structure stability. Simulation shows silicon with high porosity and large pore size help to stabil...

776 citations


Journal ArticleDOI
TL;DR: In this paper, the authors highlight the characteristics of metal assisted chemical etching of silicon (Si) including controllability of the produced sidewall roughness, the inherent high aspect ratio, the weak crystal orientation dependence, impurity doping and solution concentration dependent porosity, as well as the applicability of MacEtch to non-Si based semiconductor materials including III-V compound semiconductors.
Abstract: Metal assisted chemical etching (MacEtch) is a recently developed anisotropic wet etching method that is capable of producing high aspect ratio semiconductor nanostructures from patterned metal film. In this review article, we highlight the characteristics of MacEtch of silicon (Si) including controllability of the produced sidewall roughness, the inherent high aspect ratio, the weak crystal orientation dependence, impurity doping and solution concentration dependent porosity, as well as the applicability of MacEtch to non-Si based semiconductor materials including III–V compound semiconductors. Also reviewed are applications of MacEtch produced high aspect ratio Si nanostructures in photovoltaics, where the p–n junction can be in the planar Si tray, core–shell, or axial geometry, with nanowire, micropillar, or hole arrays serving as light trapping or carrier collection structures. The prospect of using MacEtch to improve the cost and efficiency of photovoltaic cells is discussed.

376 citations


Journal ArticleDOI
TL;DR: In this paper, a chemical route to colloidal silicon (Si) nanocrystals, or quantum dots, with widely tunable average diameter, from less than 3 nm up to 90 nm and peak photoluminescence (PL) from visible wavelengths to the bulk band gap of Si at 1100 nm.
Abstract: We report a chemical route to colloidal silicon (Si) nanocrystals, or quantum dots, with widely tunable average diameter, from less than 3 nm up to 90 nm and peak photoluminescence (PL) from visible wavelengths to the bulk band gap of Si at 1100 nm. The synthesis relies on the high temperature (>1100 °C) decomposition of hydrogen silsesquioxane (HSQ) to obtain Si quantum dots with good crystallinity and a narrow size distribution with tunable size embedded in SiO2. The oxide matrix is removed by hydrofluoric acid etching in the dark. Subsequent thermal hydrosilylation with alkenes yields free, solvent-dispersible Si nanocrystals with bright PL. The relationship between PL energy and size, exhaustively characterized by transmission electron microscopy (TEM), small-angle X-ray scattering (SAXS), and X-ray diffraction (XRD), is reported.

316 citations


Journal ArticleDOI
TL;DR: In this paper, a photoelectrochemical hydrogen generation performance was reported for silicon nanowires (SiNWs) fabricated via metal-catalyzed electroless etching, which is attributed to a lower kinetic overpotential due to a higher surface roughness, favorable shift in the flatband potential, and light-trapping effects of the SiNW surface.
Abstract: Herein we report that silicon nanowires (SiNWs) fabricated via metal-catalyzed electroless etching yielded a photoelectrochemical hydrogen generation performance superior to that of a planar Si, which is attributed to a lower kinetic overpotential due to a higher surface roughness, favorable shift in the flat-band potential, and light-trapping effects of the SiNW surface. The SiNW photocathode yielded a photovoltage of 0.42 V, one of the highest values ever reported for hydrogen generation on p-type Si/electrolyte interfaces.

296 citations


Journal ArticleDOI
TL;DR: In this article, mesoporous hollow TiO2 shells with controllable crystallinity have been successfully synthesized by using a novel partial etching and re-calcination process.
Abstract: Mesoporous hollow TiO2 shells with controllable crystallinity have been successfully synthesized by using a novel partial etching and re-calcination process. This method involves several sequential preparation steps as follows: 1) Synthesis of SiO2@TiO2@SiO2 colloidal composites through sol–gel processes and crystallization by calcination, 2) partial etching to preferentially remove portions of the SiO2 layers contacting the TiO2 surface, and 3) re-calcination to crystallize the TiO2 and finally etching of the inner and outer SiO2 to produce mesoporous anatase TiO2 shells. The partial etching step produces a small gap between SiO2 and TiO2 layers which allows space for the TiO2 to further grow into large crystal grains. The re-calcination process leads to well developed crystalline TiO2 which maintains the mesoporous shell structure due to the protection of the partially etched outer silica layer. When used as photocatalysts for the degradation of Rhodamine B under UV irradiation, the as-prepared mesoporous TiO2 shells show significantly enhanced catalytic activity. In particular, TiO2 shells synthesized with optimal crystallinity by using this approach show higher performance than commercial P25 TiO2.

265 citations



Journal ArticleDOI
Yi Zhang1, Zhen Li1, Pyojae Kim1, Luyao Zhang1, Chongwu Zhou1 
24 Jan 2012-ACS Nano
TL;DR: In this article, a simple, clean, and highly anisotropic hydrogen etching method for chemical vapor deposited (CVD) graphene catalyzed by the copper substrate is reported. But the method is not suitable for the etching of nanoribbons.
Abstract: We report a simple, clean, and highly anisotropic hydrogen etching method for chemical vapor deposited (CVD) graphene catalyzed by the copper substrate. By exposing CVD graphene on copper foil to hydrogen flow around 800 °C, we observed that the initially continuous graphene can be etched to have many hexagonal openings. In addition, we found that the etching is temperature dependent. Compared to other temperatures (700, 900, and 1000 °C), etching of graphene at 800 °C is most efficient and anisotropic. Of the angles of graphene edges after etching, 80% are 120°, indicating the etching is highly anisotropic. No increase of the D band along the etched edges indicates that the crystallographic orientation of etching is in the zigzag direction. Furthermore, we observed that copper played an important role in catalyzing the etching reaction, as no etching was observed for graphene transferred to Si/SiO(2) under similar conditions. This highly anisotropic hydrogen etching technology may work as a simple and convenient way to determine graphene crystal orientation and grain size and may enable the etching of graphene into nanoribbons for electronic applications.

243 citations


Patent
09 Mar 2012
TL;DR: In this paper, a method of selectively etching a metal-containing film from a substrate comprising a metal containing layer and a silicon oxide layer is proposed, which involves flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber, and applying energy to the fluorinecontaining gas to generate a plasma in the plasma generation area.
Abstract: A method of selectively etching a metal-containing film from a substrate comprising a metal-containing layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber, and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions, and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the metal-containing layer at a higher etch rate than the reactive gas etches the silicon oxide layer.

194 citations


Journal ArticleDOI
TL;DR: In this article, nano-holes, etched under an electron beam at room temperature in singlelayer graphene sheets as a result of their interaction with metal impurities, are shown to heal spontaneously by filling up with either non-hexagon, graphene-like, or perfect hexagon 2D structures.
Abstract: Nano-holes, etched under an electron beam at room temperature in singlelayer graphene sheets as a result of their interaction with metalimpurities, are shown to heal spontaneously by filling up with either non-hexagon, graphene-like, or perfect hexagon 2D structures. Scanning transmission electron microscopy was employed to capture the healing process and study atom-by-atom the re-grown structure. A combination of these nano-scale etching and re-knitting processes could lead to new graphene tailoring approaches.

178 citations


Patent
09 Mar 2012
TL;DR: In this paper, a method of selectively etching silicon nitride from a substrate comprising a silicon oxide layer and a silicon dioxide layer is proposed. But the method requires the substrate to be exposed to the reactive gas in the gas reaction region of the substrate processing chamber.
Abstract: A method of selectively etching silicon nitride from a substrate comprising a silicon nitride layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the silicon nitride layer at a higher etch rate than the reactive gas etches the silicon oxide layer.

170 citations


Patent
19 Oct 2012
TL;DR: In this paper, a patterned mask is disposed on a dielectric layer formed thereon where the mask has openings, and features are then etched in the surface through the openings in the presence of the plasma.
Abstract: Methods of etching HAR features in a dielectric layer are described. In one embodiment, a substrate is provided into an etch chamber. The substrate has a patterned mask disposed on a dielectric layer formed thereon where the patterned mask has openings. A gas mixture is provided into the etch chamber, the gas mixture includes CO, O 2 , a fluorocarbon gas, and an optional inert gas. A plasma is formed from the gas mixture. Features are etched in the dielectric layer through the openings in the presence of the plasma

Patent
04 Apr 2012
TL;DR: In this paper, the authors described a remote plasma etch formed from a fluorine-containing precursor and a hydrogen-containing precursor, where the plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon while very slowly removing other exposed materials.
Abstract: Methods of etching exposed silicon on patterned heterogeneous structures is described and includes a remote plasma etch formed from a fluorine-containing precursor and a hydrogen-containing precursor Plasma effluents from the remote plasma are flowed into a substrate processing region where the plasma effluents react with the exposed regions of silicon The plasmas effluents react with the patterned heterogeneous structures to selectively remove silicon while very slowly removing other exposed materials The silicon selectivity results, in part, from a preponderance of hydrogen-containing precursor in the remote plasma which hydrogen terminates surfaces on the patterned heterogeneous structures A much lower flow of the fluorine-containing precursor progressively substitutes fluorine for hydrogen on the hydrogen-terminated silicon thereby selectively removing silicon from exposed regions of silicon The methods may be used to selectively remove silicon far faster than silicon oxide, silicon nitride and a variety of metal-containing materials

Patent
10 Aug 2012
TL;DR: In this article, a multilayer etching mask that includes a stud mask and a removable spacer sleeve for MTJ etching to form a bottom electrode that is wider than the rest of the MTJ pillar is described.
Abstract: Use of a multilayer etching mask that includes a stud mask and a removable spacer sleeve for MTJ etching to form a bottom electrode that is wider than the rest of the MTJ pillar is described The first embodiment of the invention described includes a top electrode and a stud mask In the second and third embodiments the stud mask is a conductive material and also serves as the top electrode In embodiments after the stud mask is formed a spacer sleeve is formed around it to initially increase the masking width for a phase of etching The spacer is removed for further etching, to create step structures that are progressively transferred down into the layers forming the MTJ pillar In one embodiment the spacer sleeve is formed by net polymer deposition during an etching phase

Journal ArticleDOI
TL;DR: In this paper, the etching mechanism of metal-assisted chemical etching using a contiguous metal thin film is investigated, especially the mass transport of reactants and byproducts, and several models of metal assisted chemical etch using a metal film are presented.
Abstract: Metal-assisted chemical etching is a relatively new top-down approach allowing a highly controlled and precise fabrication of Si and Si/Ge superlattice nanowires. It is a simple method with the ability to tailor diverse nanowire parameters like diameter, length, density, orientation, doping level, doping type, and morphology. In a typical metal-assisted chemical etching procedure, a Si substrate is covered by a lithographic noble metal film and etched in a solution containing HF and an oxidant (typically H2O2). In general, the function of the metal is to catalyze the reduction of H2O2, which delivers electronic holes necessary for the oxidation and subsequent dissolution of the Si oxide by HF. However, the details of the etching process using contiguous metal thin films, especially the mass transport of reactants and byproducts are still not well understood. In this study, the etching mechanism was systematically investigated. Several models of metal-assisted chemical etching using a contiguous metal film...

Journal ArticleDOI
TL;DR: A wet-chemical etching method is reported for the scale-up preparation of carbon-coated hierarchical micro/nano porous silicon powders as a Li-ion battery anode, which shows no capacity fading at 1500 mA h g(-1) in 50 charge/discharge cycles.

Patent
02 Mar 2012
TL;DR: In this paper, a semiconductor device manufacturing method for forming a step-shaped structure in a substrate by etching the substrate having thereon a multilayer film and a photoresist film on the multi-layer film and serving as an etching mask was provided.
Abstract: There is provided a semiconductor device manufacturing method for forming a step-shaped structure in a substrate by etching the substrate having thereon a multilayer film and a photoresist film on the multilayer film and serving as an etching mask. The multilayer film is formed by alternately layering a first film having a first permittivity and a second film having a second permittivity different from the first permittivity. The method includes a first process for plasma-etching the first film by using the photoresist film as a mask; a second process for exposing the photoresist film to hydrogen-containing plasma; a third process for trimming the photoresist film; and a fourth process for etching the second film by using the trimmed photoresist film and the plasma-etched first film as a mask. The step-shaped structure is formed in the multilayer film by repeatedly performing the first process to the fourth process in this sequence.

Journal ArticleDOI
TL;DR: In this paper, the development of passivated silicon nanowire (SiNW) based micro-supercapacitor electrodes for on-chip applications using an environmentally benign aqueous electrolyte is reported.
Abstract: The development of passivated silicon nanowire (SiNW) based micro-supercapacitor electrodes for on-chip applications using an environmentally benign aqueous electrolyte is reported. The SiNWs, produced by low-temperature (50 °C) electrochemical etching, corrode during charge/discharge cycling in the aqueous environment, but upon coating with a silicon carbide passivation layer, the corrosion is mitigated. The as-formed materials are in electrical contact with the substrate, requiring no additional current collector. The passivated NWs achieve capacitance values up to ∼1.7 mF/cm2 projected area (comparable to state-of-the art carbon based micro-supercapacitor electrodes), exhibit robust cycling stability, and maintain capacitive behavior over a wide range of charge/discharge rates.

Journal ArticleDOI
20 Jan 2012-ACS Nano
TL;DR: The lateral etching of the core-shell Au@Ag nanorod is achieved by FeCl(3) at room temperature, producing a number of dumbbell-like Ag-tipped Au nanorods, indicating the great potential of this selective etching.
Abstract: Selective growth/etching of hybrid materials is very important for the rational synthesis of hierarchical structures and precise modulation of their physical properties. Here, the lateral etching of the core–shell Au@Ag nanorods is achieved by FeCl3 at room temperature, producing a number of dumbbell-like Ag-tipped Au nanorods. This selective etching at the side of the core–shell nanorods is attributed to the increased reactivity of the side facets, due to less surface passivation of cetyltrimethylammonium bromide. The similar synthetic strategy has also been demonstrated to be successful for the Pd-tipped Au nanorods that have not been reported before, indicating the great potential of this selective etching. The Ag-tipped Au nanorods are examined as a catalyst for the reduction of p-nitrophenol at room temperature. The Ag-tipped Au nanorods exhibit a higher catalytic activity than Au nanorods and core–shell Au@Ag nanorods, which could be attributed to the electronic effect and the unique structure in th...

Journal ArticleDOI
TL;DR: In this article, the authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production.
Abstract: Plasma etching processes at the 22 nm technology node and below will have to satisfy multiple stringent scaling requirements of microelectronics fabrication. To satisfy these requirements simultaneously, significant improvements in controlling key plasma parameters are essential. Pulsed plasmas exhibit considerable potential to meet the majority of the scaling challenges, while leveraging the broad expertise developed over the years in conventional continuous wave plasma processing. Comprehending the underlying physics and etching mechanisms in pulsed plasma operation is, however, a complex undertaking; hence the full potential of this strategy has not yet been realized. In this review paper, we first address the general potential of pulsed plasmas for plasma etching processes followed by the dynamics of pulsed plasmas in conventional high-density plasma reactors. The authors reviewed more than 30 years of academic research on pulsed plasmas for microelectronics processing, primarily for silicon and conductor etch applications, highlighting the potential benefits to date and challenges in extending the technology for mass-production. Schemes such as source pulsing, bias pulsing, synchronous pulsing, and others in conventional high-density plasma reactors used in the semiconductor industry have demonstrated greater flexibility in controlling critical plasma parameters such as ion and radical densities, ion energies, and electron temperature. Specifically, plasma pulsing allows for independent control of ion flux and neutral radicals flux to the wafer, which is key to eliminating several feature profile distortions at the nanometer scale. However, such flexibility might also introduce some difficulty in developing new etching processes based on pulsed plasmas. Therefore, the main characteristics of continuous wave plasmas and different pulsing schemes are compared to provide guidelines for implementing different schemes in advanced plasma etching processes based on results from a particularly challenging etch process in an industrial reactor.

Journal ArticleDOI
TL;DR: It is found that the porosity decreases from top to bottom along the axial direction and increases with etching time, and with a MacEtch solution that has a high [HF]:[H(2)O(2)] ratio and low temperature, it is possible to form completely solid nanowires with aspect ratios of less than approximately 10:1.
Abstract: We report the fabrication of degenerately doped silicon (Si) nanowires of different aspect ratios using a simple, low-cost and effective technique that involves metal-assisted chemical etching (MacEtch) combined with soft lithography or thermal dewetting metal patterning. We demonstrate sub-micron diameter Si nanowire arrays with aspect ratios as high as 180:1, and present the challenges in producing solid nanowires using MacEtch as the doping level increases in both p- and n-type Si. We report a systematic reduction in the porosity of these nanowires by adjusting the etching solution composition and temperature. We found that the porosity decreases from top to bottom along the axial direction and increases with etching time. With a MacEtch solution that has a high [HF]:[H2O2] ratio and low temperature, it is possible to form completely solid nanowires with aspect ratios of less than approximately 10:1. However, further etching to produce longer wires renders the top portion of the nanowires porous. (Some figures may appear in colour only in the online journal)

Journal ArticleDOI
TL;DR: In this article, Ga-doped ZnO (GZO) transparent electrodes on RGB color filters were fabricated to demonstrate the feasibility of using this new material as an alternative to indium tin oxide (ITO) electrodes.

Patent
08 May 2012
TL;DR: In this paper, a method for etching a substrate to be processed includes: (a) a step S1 for supplying etchant gas into a processing container in which the substrate to being processed is housed; (b) an exhausting step for exhausting the processing container; (c) an exciting step for exciting the plasma of the rare gas.
Abstract: PROBLEM TO BE SOLVED: To provide an etching method which is based on an ALE method to enable reduction of damage to a substrate to be processed and to be superior in controllability of the etching amount, and also to provide a plasma etching device.SOLUTION: A method for etching a substrate to be processed includes: (a1) a step S1 for supplying etchant gas into a processing container in which the substrate to be processed is housed; (b1) a step S2 for exhausting the processing container; (c1) a step S3 for supplying rare gas into the processing container; and (d1) a step S4 for supplying microwaves into the processing container and exciting plasma of the rare gas in the processing container. A series of steps including a step for supplying the enchant gas, a step for exhausting, a step for supplying the rare gas, and a step for exciting the plasma of the rare gas may be repeated.

Patent
Cheng-Tien Wan1, You-Ru Lin1, Yi-Jing Lee1, Cheng-Hsien Wu1, Chih-Hsin Ko1, Clement Hsingjen Wann1 
07 Mar 2012
TL;DR: In this paper, a treatment is performed on a surface of a first semiconductor region, wherein the treatment was performed using process gases including an oxygen-containing gas and an etching gas for etching the semiconductor material.
Abstract: A treatment is performed on a surface of a first semiconductor region, wherein the treatment is performed using process gases including an oxygen-containing gas and an etching gas for etching the semiconductor material. An epitaxy is performed to grow a second semiconductor region on the surface of the first semiconductor region.

Journal ArticleDOI
TL;DR: In this article, a modified two-step etching transfer process was introduced to preserve the clean surface and electrical property of transferred monolayer graphene, which achieved peak mobility over 4900 cm2/(V s) at ambient condition.
Abstract: This article demonstrated monolayer graphene grown on annealed Cu (111) films on standard oxidized 100-mm Si wafers with higher quality than existing reports. Large area Raman mapping indicated high uniformity (>97% coverage) of monolayer graphene with immeasurable defects (>95% defect-negligible) across the entire wafer. Key to these results is the phase transition of evaporated copper films from amorphous to (111) preferred crystalline, which resulted in subsequent growth of high quality graphene, as corroborated by X-ray diffraction and electron backscatter diffraction. Noticeably, such phase transition of the copper film was observed on a technologically ubiquitous Si wafer with a standard amorphous thermal oxide. A modified two-step etching transfer process was introduced to preserve the clean surface and electrical property of transferred monolayer graphene. The fabricated graphene field effect transistor on a flexible polyimide film achieved peak mobility over 4900 cm2/(V s) at ambient condition.

Journal ArticleDOI
TL;DR: In this paper, a deionized water etching and thermal annealing technique was used for preparing atomically-flat and singly-terminated surfaces of single crystalline SrTiO3 substrates.
Abstract: We report that a deionized water etching and thermal annealing technique can be effective for preparing atomically-flat and singly-terminated surfaces of single crystalline SrTiO3 substrates. After a two-step thermal-annealing and deionized-water etching procedure, topography measured by atomic force microscopy shows the evolution of substrates from a rough to step-terraced surface structure. Lateral force microscopy confirms that the atomically-flat surfaces are singly-terminated. Moreover, this technique can be used to remove excessive strontium oxide or hydroxide composites segregated on the SrTiO3 surface. This acid-etchant-free technique facilitates the preparation of atomically-aligned SrTiO3 substrates, which promotes studies on two-dimensional physics of complex oxide interfaces.

Journal ArticleDOI
TL;DR: In this article, a new detection methodology based on memristive effect registered on silicon nanowire is proposed, which is fabricated by a lithographic technique that allows precise and selective etching at the nanoscale.
Abstract: This paper proposes a new detection methodology based on memristive-effect registered on silicon nanowire. The nano-wires are fabricated by a lithographic technique that allows precise and selective etching at the nanoscale. The wires are obtained in three main steps. Initially, a photoresist line defines the wire position. In a second step, silicon deep reactive ion etching is performed to obtain a scalloped trench. In the final step, the trench is reduced to a suspended nanowire after wet oxidation. The obtained wires present Schottky barrier contacts and are used for bio-molecular detection on dried samples. The memristive silicon nanowire devices are functionalized with rabbit antibodies in order to sense antigens. The sensitivity and detection limit of this new kind of nano-bio-sensors are estimated equal to 37 ± 1 mV/fM and 3.4 ± 1.8 fM, respectively.

Patent
19 Oct 2012
TL;DR: In this paper, a method for performing dry etching on a metal film containing Pt via a mask layer is described, where a plasma of an etching gas including a gaseous mixture of H 2 gas, CO 2 gas and rare gas is generated.
Abstract: A method for performing dry etching on a metal film containing Pt via a mask layer includes performing dry etching on the metal film by generating a plasma of an etching gas including a gaseous mixture of H 2 gas, CO 2 gas, methane gas and rare gas. With the dry etching method, it is possible to make a vertical sidewall of a hole or trench more vertical without using a halogen gas.

Patent
12 Oct 2012
TL;DR: In this article, a method for etching low-k and other dielectric films is described, where a first charge coupled plasma source is provided to generate an ion flux to a workpiece in one operational mode, while a secondary plasma source was provided to provide reactive species flux without significant ion flux in another operational mode.
Abstract: Methods and process chambers for etching of low-k and other dielectric films are described. For example, a method includes modifying portions of the low-k dielectric layer with a plasma process. The modified portions of the low-k dielectric layer are etched selectively over a mask layer and unmodified portions of the low-k dielectric layer. Etch chambers having multiple chamber regions for alternately generating distinct plasmas are described. In embodiments, a first charge coupled plasma source is provided to generate an ion flux to a workpiece in one operational mode, while a secondary plasma source is provided to provide reactive species flux without significant ion flux to the workpiece in another operational mode. A controller operates to cycle the operational modes repeatedly over time to remove a desired cumulative amount of the dielectric material.

Journal ArticleDOI
TL;DR: A model that explains the etching behavior of ZnO depending on the structural material properties and etching agent is proposed and several approaches to modify the etch behavior through special preparation and etch steps are provided.
Abstract: Chemical etching is widely applied to texture the surface of sputter-deposited zinc oxide for light scattering in thin-film silicon solar cells. Based on experimental findings from the literature and our own results we propose a model that explains the etching behavior of ZnO depending on the structural material properties and etching agent. All grain boundaries are prone to be etched to a certain threshold, that is defined by the deposition conditions and etching solution. Additionally, several approaches to modify the etching behavior through special preparation and etching steps are provided.

Journal ArticleDOI
TL;DR: In this paper, two-dimensional periodic photonic nanostructures were fabricated by nanoimprint lithography and dry etching and their integration into a 1-μm-thin mono-crystalline silicon solar cell.
Abstract: We report on the fabrication of two-dimensional periodic photonic nanostructures by nanoimprint lithography and dry etching and their integration into a 1-μm-thin mono-crystalline silicon solar cell. Thanks to the periodic nanopatterning, a better in-coupling and trapping of light is achieved, resulting in an absorption enhancement. The proposed light trapping mechanism can be explained as the superposition of a graded index effect and of the diffraction of light inside the photoactive layer. The absorption enhancement is translated into a 23% increase in short-circuit current, as compared to the benchmark cell, resulting in an increase in energy-conversion efficiency.