scispace - formally typeset
Search or ask a question

Showing papers on "Etching (microfabrication) published in 2015"


Journal ArticleDOI
TL;DR: Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting as mentioned in this paper, which has been studied in the laboratory for more than 25 years.
Abstract: Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V compounds, and other materials. Together, these processes encompass a variety of implementations, all following the same ALE principles. While the focus is on directional etching, isotropic ALE is also included. As part of this review, the authors also address the role of power pulsing as a predecessor to ALE and examine the outlook of ALE in the manufacturing of advanced semiconductor devices.

375 citations


Journal ArticleDOI
TL;DR: Etching tests on these ZIFs reveal that etching occurs preferentially in the crystallographic directions richer in metal-ligand bonds; that, along these directions, the etching rate tends to be faster on the crystal surfaces of higher dimensionality; and that the etch can be modulated by adjusting the pH of the etchant solution.
Abstract: Controlling the shape of metal-organic framework (MOF) crystals is important for understanding their crystallization and useful for myriad applications. However, despite the many advances in shaping of inorganic nanoparticles, post-synthetic shape control of MOFs and, in general, molecular crystals remains embryonic. Herein, we report using a simple wet-chemistry process at room temperature to control the anisotropic etching of colloidal ZIF-8 and ZIF-67 crystals. Our work enables uniform reshaping of these porous materials into unprecedented morphologies, including cubic and tetrahedral crystals, and even hollow boxes, by an acid-base reaction and subsequent sequestration of leached metal ions. Etching tests on these ZIFs reveal that etching occurs preferentially in the crystallographic directions richer in metal-ligand bonds; that, along these directions, the etching rate tends to be faster on the crystal surfaces of higher dimensionality; and that the etching can be modulated by adjusting the pH of the etchant solution.

248 citations


Journal ArticleDOI
TL;DR: In this article, the authors present microwave-frequency NbTiN resonators on silicon, systematically achieving internal quality factors above 1 1/m in the quantum regime, and they use two techniques to reduce losses associated with two-level systems: an additional substrate surface treatment prior to Nb TiN deposition to optimize the metal-substrate interface and deep reactive-ion etching of the substrate to displace the substrate-vacuum interfaces away from high electric fields.
Abstract: We present microwave-frequency NbTiN resonators on silicon, systematically achieving internal quality factors above 1 M in the quantum regime. We use two techniques to reduce losses associated with two-level systems: an additional substrate surface treatment prior to NbTiN deposition to optimize the metal-substrate interface and deep reactive-ion etching of the substrate to displace the substrate-vacuum interfaces away from high electric fields. The temperature and power dependence of resonator behavior indicate that two-level systems still contribute significantly to energy dissipation, suggesting that more interface optimization could further improve performance.

196 citations


Journal ArticleDOI
TL;DR: The ability to achieve near-atomic precision in etching different materials when transferring lithographically defined templates is a requirement of increasing importance for nanoscale structure fabrication in the semiconductor and related industries as discussed by the authors.
Abstract: The ability to achieve near-atomic precision in etching different materials when transferring lithographically defined templates is a requirement of increasing importance for nanoscale structure fabrication in the semiconductor and related industries. The use of ultra-thin gate dielectrics, ultra thin channels, and sub-20 nm film thicknesses in field effect transistors and other devices requires near-atomic scale etching control and selectivity. There is an emerging consensus that as critical dimensions approach the sub-10 nm scale, the need for an etching method corresponding to Atomic Layer Deposition (ALD), i.e. Atomic Layer Etching (ALE), has become essential, and that the more than 30-year quest to complement/replace continuous directional plasma etching (PE) methods for critical applications by a sequence of individual, self-limited surface reaction steps has reached a crucial stage. A key advantage of this approach relative to continuous PE is that it enables optimization of the individual steps with regard to reactant adsorption, self-limited etching, selectivity relative to other materials, and damage of critical surface layers. In this overview we present basic approaches to ALE of materials, discuss similarities/crucial differences relative to thermal and plasma-enhanced ALD, and then review selected results on ALE of materials aimed at pattern transfer. The overview concludes with a discussion of opportunities and

168 citations


Journal ArticleDOI
TL;DR: In this article, a combined fabrication method of reactive ion etching and large-scale colloidal mask was proposed to fabricate mid-infrared metamaterial perfect absorbers using aluminum.
Abstract: We propose a combined fabrication method of reactive ion etching and large-scale colloidal mask to fabricate mid-infrared metamaterial perfect absorbers using aluminum–aluminum oxide-aluminum trilayers. The absorptivities of the fabricated samples reached as high as 98% and the absorption bandwidths were comparable to those of the absorbers based on gold or silver. Following Kirchhoff’s law, their emission spectra exhibited sharp single emission peaks indicating high potential as narrow-band infrared emitters. The results obtained here demonstrate that earth-abundant aluminum is a high-performance plasmonic materials in the mid-infrared range, and open up a route for fabricating cost-effective scalable plasmonic devices such as efficient light harvesting structures, thermal emitters, and infrared sensors.

163 citations


Patent
Eric Hudson1, Nikhil Dole1
27 Apr 2015
TL;DR: In this article, a cyclic etching and deposition operation is employed to partially etch a recessed feature in dielectric material on a substrate and then a protective coating is applied on the feature to prevent lateral etch of the surface during the etching operation.
Abstract: Various embodiments herein relate to methods, apparatus and systems for forming a recessed feature in dielectric material on a substrate. Separate etching and deposition operations are employed in a cyclic manner. Each etching operation partially etches the feature. Each deposition operation forms a protective coating on the sidewalls of the feature to prevent lateral etch of the dielectric material during the etching operations. The protective coating may be deposited using methods that result in substantial preservation of a mask layer on the substrate. The protective coating may be deposited using particular reactants and/or reaction conditions that are unlikely to damage the mask layer. The protective coating may also be deposited using particular reaction mechanisms that result in substantially complete sidewall coating. In some cases the protective coating is deposited using plasma assisted atomic layer deposition, a modified plasma assisted atomic layer deposition, or plasma assisted chemical vapor deposition.

158 citations


Journal ArticleDOI
TL;DR: MoSe2 nanosheets with ultrathin thickness and rich defects were grown on the surface of carbon fiber cloth by a facile solvent-thermal method and exhibited excellent HER activity including small onset potential, large exchange current density and small Tafel slope, which is superior to most of MoSe2-based catalysts reported previously.
Abstract: MoSe2 nanosheets with ultrathin thickness and rich defects were grown on the surface of carbon fiber cloth by a facile solvent-thermal method. The active area and conductivity of the MoSe2 catalyst were increased simultaneously because of the NH4F etching effect and its incorporation with carbon fiber cloth. As a result, the MoSe2-based catalysts exhibited excellent HER activity including small onset potential, large exchange current density and small Tafel slope, which is superior to most of MoSe2-based catalysts reported previously.

152 citations


Journal ArticleDOI
TL;DR: In this paper, an overview of the fabrication methods of black silicon, their resulting morphologies, and a quantitative comparison of their optoelectronic properties is presented, where the optical absorption and the minority carrier lifetime are used as benchmark parameters.
Abstract: This article presents an overview of the fabrication methods of black silicon, their resulting morphologies, and a quantitative comparison of their optoelectronic properties. To perform this quantitative comparison, different groups working on black silicon solar cells have cooperated for this study. The optical absorption and the minority carrier lifetime are used as benchmark parameters. The differences in the fabrication processes plasma etching, chemical etching, or laser processing are discussed and compared with numerical models. Guidelines to optimize the relevant physical parameters, such as the correlation length, optimal height of the nanostructures, and the surface defect densities for optoelectronic applications are given.

151 citations


Journal ArticleDOI
TL;DR: In this article, a Si/porous reduced graphene oxide (rGO) composite is fabricated by steam etching of Si/rGO aerogel, which can encapsulate the Si nanoparticles.

134 citations


Patent
30 Nov 2015
TL;DR: In this paper, improved methods and apparatus for etching a semiconductor substrate are described. But the method is restricted to the case where the substrate is divided into upper and lower sub-chambers, and the ion-ion plasma may be used to advantage in a variety of etching processes.
Abstract: The embodiments disclosed herein pertain to improved methods and apparatus for etching a semiconductor substrate. A plasma grid assembly is positioned in a reaction chamber to divide the chamber into upper and lower sub-chambers. The plasma grid assembly may include one or more plasma grids having slots of a particular aspect ratio, which allow certain species to pass through from the upper sub-chamber to the lower sub-chamber. In some cases, an electron-ion plasma is generated in the upper sub-chamber. Electrons that make it through the grid to the lower sub-chamber are cooled as they pass through. In some cases, this results in an ion-ion plasma in the lower sub-chamber. The ion-ion plasma may be used to advantage in a variety of etching processes.

130 citations


Journal ArticleDOI
Wei Gao1, Zhiyun Zhang1, Jing Li1, Yuanyuan Ma1, Yongquan Qu1 
TL;DR: The method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications and delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods.
Abstract: Controllable surface properties of nanocerias are desired for various catalytic processes. There is a lack of efficient approaches to adjust the surface properties of ceria to date. Herein, a redox chemical etching method was developed to controllably engineer the surface properties of ceria nanorods. Ascorbic acid and hydrogen peroxide were used to perform the redox chemical etching process, resulting in a rough surface and/or pores on the surface of ceria nanorods. Increasing the etching cycles induced a steady increase of the specific surface area, oxygen vacancies and surface Ce3+ fractions. As a result, the etched nanorods delivered enhanced catalytic activity for CO oxidation, compared to the non-etched ceria nanorods. Our method provides a novel and facile approach to continuously adjust the surface properties of ceria for practical applications.

Journal ArticleDOI
TL;DR: In this article, the catalytic reduction growth of both AgI and CuII on preformed Pt crystal nuclei is proposed for the formation of core@shell nanostructures, and the oxidative etching is also critical in the final morphology of concave nanocrystals.

Patent
16 Jan 2015
TL;DR: In this article, a method for etching a layer on a substrate includes at least one etching cycle, wherein an etch cycle includes: continuously providing an inert gas into the reaction space, providing a pulse of an etching gas into a continuous inert gas flow upstream of the reaction state to chemisorb the etch gas in an unexcited state on a surface of the substrate, and providing a pulses of RF power discharge between electrodes to generate a reactive species of the inert gas in reaction space so that the layer on the substrate is etched.
Abstract: A method for etching a layer on a substrate includes at least one etching cycle, wherein an etching cycle includes: continuously providing an inert gas into the reaction space; providing a pulse of an etching gas into the continuous inert gas flow upstream of the reaction space to chemisorb the etching gas in an unexcited state on a surface of the substrate; and providing a pulse of RF power discharge between electrodes to generate a reactive species of the inert gas in the reaction space so that the layer on the substrate is etched.

Patent
Masaru Zaitsu1
28 Dec 2015
TL;DR: An atomic layer etching (ALE) method as discussed by the authors uses a cycle including: continuously providing a noble gas; providing a pulse of an etchant gas to the reaction space to chemisorb the etchant gases in an unexcited state in a self-limiting manner on a surface of a substrate in the reactive reaction space; and providing a reactive species of a common noble gas to contact the substrate with the reactive species so that the layer on the substrate is etched.
Abstract: A method of atomic layer etching (ALE) uses a cycle including: continuously providing a noble gas; providing a pulse of an etchant gas to the reaction space to chemisorb the etchant gas in an unexcited state in a self-limiting manner on a surface of a substrate in the reaction space; and providing a pulse of a reactive species of a noble gas in the reaction space to contact the etchant gas-chemisorbed surface of the substrate with the reactive species so that the layer on the substrate is etched. The etchant gas is a fluorocarbon gas containing a functional group with a polarity.

Journal ArticleDOI
TL;DR: In this article, the key defining characteristics of atomic layer etching are identified, such as cyclic step-wise processing, self-limiting surface chemistry, and repeated removal of atomic layers (not necessarily a full monolayer).
Abstract: Current trends in semiconductor device manufacturing impose extremely stringent requirements on nanoscale processing techniques, both in terms of accurately controlling material properties and in terms of precisely controlling nanometer dimensions. To take nanostructuring by dry etching to the next level, there is a fast growing interest in so-called atomic layer etching processes, which are considered the etching counterpart of atomic layer deposition processes. In this article, past research efforts are reviewed and the key defining characteristics of atomic layer etching are identified, such as cyclic step-wise processing, self-limiting surface chemistry, and repeated removal of atomic layers (not necessarily a full monolayer) of the material. Subsequently, further parallels are drawn with the more mature and mainstream technology of atomic layer deposition from which lessons and concepts are extracted that can be beneficial for advancing the field of atomic layer etching.

Journal ArticleDOI
TL;DR: In this paper, the use of silicon as an anode for Li-ion batteries is reviewed, where factors such as film thickness, doping, alloying, and their response to reversible lithiation processes are summarized and discussed with respect to battery cell performance.
Abstract: This review outlines the developments and recent progress in metal-assisted chemical etching of silicon, summarizing a variety of fundamental and innovative processes and etching methods that form a wide range of nanoscale silicon structures. The use of silicon as an anode for Li-ion batteries is also reviewed, where factors such as film thickness, doping, alloying, and their response to reversible lithiation processes are summarized and discussed with respect to battery cell performance. Recent advances in improving the performance of silicon-based anodes in Li-ion batteries are also discussed. The use of a variety of nanostructured silicon structures formed by many different methods as Li-ion battery anodes is outlined, focusing in particular on the influence of mass loading, core-shell structure, conductive additives, and other parameters. The influence of porosity, dopant type, and doping level on the electrochemical response and cell performance of the silicon anodes are detailed based on recent findings. Perspectives on the future of silicon and related materials, and their compositional and structural modifications for energy storage via several electrochemical mechanisms, are also provided.

Patent
28 Dec 2015
TL;DR: In this paper, a method of continuous fabrication of a layered structure on a substrate having a patterned recess was proposed, where a dielectric layer was formed in a reaction chamber by PEALD using a first RF power and a second RF power was used after completion of the first step.
Abstract: A method of continuous fabrication of a layered structure on a substrate having a patterned recess, includes: (i) forming a dielectric layer on a substrate having a patterned recess in a reaction chamber by PEALD using a first RF power; (ii) continuously after completion of step (i) without breaking vacuum, etching the dielectric layer on the substrate in the reaction chamber by PEALE using a second RF power, wherein a pressure of the reaction chamber is controlled at 30 Pa to 1,333 Pa throughout steps (i) and (ii); a noble gas is supplied to the reaction chamber continuously throughout steps (i) and (ii); and the second RF power is higher than the first RF power.

Journal ArticleDOI
TL;DR: In this paper, microwave-frequency NbTiN resonators on silicon have been presented, achieving internal quality factors above 1 M in the quantum regime, using two techniques to reduce losses associated with two-level systems: an additional substrate surface treatment prior to NbNiN deposition to optimize the metal-substrate interface, and deep reactive ion etching of the substrate to displace the substrate-vacuum interfaces away from high electric fields.
Abstract: We present microwave-frequency NbTiN resonators on silicon, systematically achieving internal quality factors above 1 M in the quantum regime. We use two techniques to reduce losses associated with two-level systems: an additional substrate surface treatment prior to NbTiN deposition to optimize the metal-substrate interface, and deep reactive-ion etching of the substrate to displace the substrate-vacuum interfaces away from high electric fields. The temperature and power dependence of resonator behavior indicate that two-level systems still contribute significantly to energy dissipation, suggesting that more interface optimization could further improve performance.

Journal ArticleDOI
TL;DR: The data demonstrate a feasibility of inverted pyramidal texturization of silicon by maskless Cu-nanoparticles assisted etching in Cu(NO3)2 / HF / H2O2 / H-2O solutions and as such may have significant impacts on communities of fellow researchers and industrialists.
Abstract: We discovered a technical solution of such outstanding importance that it can trigger new approaches in silicon wet etching processing and, in particular, photovoltaic cell manufacturing. The so called inverted pyramid arrays, outperforming conventional pyramid textures and black silicon because of their superior light-trapping and structure characteristics, can currently only be achieved using more complex techniques involving lithography, laser processing, etc. Importantly, our data demonstrate a feasibility of inverted pyramidal texturization of silicon by maskless Cu-nanoparticles assisted etching in Cu(NO3)2 / HF / H2O2 / H2O solutions and as such may have significant impacts on communities of fellow researchers and industrialists.

Journal ArticleDOI
TL;DR: In this paper, the authors present a review of optical micro-electro-mechanical systems (MEMS) realized on a silicon chip that is enabling accurate control of the etching depth, the aspect ratio, the verticality and the curvature of the etched surfaces.
Abstract: The integration of microactuators within a silicon photonic chip gave rise to the field of optical micro-electro-mechanical systems (MEMS) that was originally driven by the telecommunication market. Following the latter's bubble collapse in the beginning of the third millennium, new directions of research with considerable momentum appeared focusing on the realization and applications of miniaturized instrumentation in biology, chemistry, physics and materials science. At the heart of these applications light interferometry is a key optical phenomenon, in which miniaturized scanning interferometers are the manipulating optical devices. Monolithic free-space optical interferometers realized on a silicon chip take advantage of the recent progress in the microfabrication technology that is enabling accurate control of the etching depth, the aspect ratio, the verticality and the curvature of the etched surfaces. The fabrication technology, the library of micro-optical and mechanical components, the realized architectures and their characterization are described in detail in this review, followed by a discussion of the foreseen challenges.

Patent
19 Aug 2015
TL;DR: In this paper, a dep-etch-dep process integrating various deposition techniques with alternating pulses of surface modification and removal during etch is described for tungsten deposition in high aspect ratio features.
Abstract: Methods of depositing tungsten into high aspect ratio features using a dep-etch-dep process integrating various deposition techniques with alternating pulses of surface modification and removal during etch are provided herein.

Journal ArticleDOI
TL;DR: The full spectrum from attachment-kinetic-dominated to diffusion-controlled modes is revealed for the cases of monolayer h-BN chemical vapor deposition (CVD) growth and Ar/H2 etching.
Abstract: The full spectrum from attachment-kinetic-dominated to diffusion-controlled modes is revealed for the cases of monolayer h-BN chemical vapor deposition (CVD) growth and Ar/H-2 etching. The sets of grown and etched structures exhibit well-defined shape evolution from Euclidian to fractal geometry. The detailed abnormal processes for merging h-BN flakes into continuous structures or film are first observed and explained.

Patent
20 Jul 2015
TL;DR: In this article, a cyclic etching and deposition of a recessed feature in a dielectric-containing stack on a semiconductor substrate is described, where each etching operation partially etches the feature and each deposition operation forms a protective coating (e.g., a metal-containing coating) on the sidewalls of the feature to prevent lateral etch.
Abstract: Various embodiments herein relate to methods, apparatus and systems for forming a recessed feature in a dielectric-containing stack on a semiconductor substrate. Separate etching and deposition operations are employed in a cyclic manner. Each etching operation partially etches the feature. Each deposition operation forms a protective coating (e.g., a metal-containing coating) on the sidewalls of the feature to prevent lateral etch of the dielectric material during the etching operations. The protective coating may be deposited using methods that result in formation of the protective coating along substantially the entire length of the sidewalls. The protective coating may be deposited using particular reaction mechanisms that result in substantially complete sidewall coating. Metal-containing coatings have been shown to provide particularly good resistance to lateral etch during the etching operation. In some cases, a bilayer approach may be used to deposit the protective coating on sidewalls of partially etched features.

Journal ArticleDOI
TL;DR: In this paper, the effect of O2 plasma etching on surface roughness was investigated using Scanning Electron Microscopy (SEM) and Laser Scanning Confocal Microscope (LSCM) profilometry.
Abstract: Superhydrophobic wood has been created using a combination of O2 plasma etching and plasma deposition of thin films to achieve the necessary combination of surface roughness and chemistry. Inherently hydrophobic fluorocarbon films (from pentafluoroethane (PFE) precursor) and hydrophilic diamond-like carbon (DLC) coatings (from acetylene precursor) were both used to create highly water repellent substrates. The effect of O2 plasma etching on surface roughness was investigated using Scanning Electron Microscopy (SEM) and Laser Scanning Confocal Microscope (LSCM) profilometry. The wetting behavior of the resulting wood was determined by static water contact angle and droplet sliding angle measurements. Wood samples subjected to O2 plasma etching prior to fluorocarbon deposition exhibited “roll-off” superhydrophobicity with low sliding angles; the sample in this study with the most extreme wetting properties has the highest water contact angle and lowest sliding angle reported to date for modified wood substrates (WCA 161.2° ± 1.5° and sliding angle ~ 15°), without affecting visual appearance of the wood. Due to our ability to control roughness, etched samples that were coated with hydrophilic DLC films displayed superhydrophobic behavior (WCA), although the surface was “sticky” in that water droplets did not slide or dislodge from vertically-held substrates.

Patent
16 Feb 2015
TL;DR: In this paper, an etching method was proposed to etch a silicon oxide film by using plasma in a first condition and in a second condition, where the output of the second radio frequency power from the second RF power source is stopped.
Abstract: An etching method is provided. In the etching method, a silicon oxide film is etched by using plasma in a first condition. In the first condition, a surface temperature of a substrate is controlled to have a temperature lower than −35 degrees C., and the plasma is generated from a hydrogen-containing gas and a fluorine-containing gas by using first radio frequency power output from a first radio frequency power source and second radio frequency power output from a second radio frequency power source. Next, the silicon oxide film is etched by using the plasma in a second condition. In the second condition, the output of the second radio frequency power from the second radio frequency power source is stopped. The silicon oxide film is etched by using the plasma alternately in the first condition and in the second condition multiple times.

Journal ArticleDOI
13 Jan 2015-Langmuir
TL;DR: Under optimized conditions, this principle may provide a new concept of "intermediate-mediated etching of nanoparticles" for sensing with an excellent sensing performance for Co(2+) with a lower detection limit via a spectrophotometer and a visual detection limit of 40 nM.
Abstract: We have proposed a Fenton-like reaction-mediated etching of gold nanorods and applied it to the sensitive visual detection of Co2+ ions. With the presence of bicarbonate (HCO3–) and hydrogen peroxide(H2O2), Co2+ ions trigger a Fenton-like reaction, resulting in the generation of superoxide radical (O2•–). As a result, the gold nanorods are gradually etched by O2•– in the presence of SCN–, accompanied by an obvious color change from green to red. The gold nanorods etching process preferentially occurs along the longitudinal direction, which is observed by transmission electron microscope. The etching mechanism is carefully proved by investigating the effects of different radical scavengers (e.g., dimethyl sulfoxide). The auto-oxidation of hydroxylamine assay further confirms the mechanism. Then, the main factors, including reactants concentrations, temperature, and incubation time, are specifically investigated. Under optimized conditions, we get an excellent sensing performance for Co2+ with a lower detec...

Patent
18 Jun 2015
TL;DR: In this article, the authors describe a SGT production method that includes a step of forming first and second fin-shaped silicon layers, forming a first insulating film, and forming first-and second pillar-shape silicon layers.
Abstract: A SGT production method includes a step of forming first and second fin-shaped silicon layers, forming a first insulating film, and forming first and second pillar-shaped silicon layers; a step of forming diffusion layers by implanting an impurity into upper portions of the first and second pillar-shaped silicon layers, upper portions of the first and second fin-shaped silicon layers, and lower portions of the first and second pillar-shaped silicon layers; a step of forming a gate insulating film and first and second polysilicon gate electrodes; a step of forming a silicide in upper portions of the diffusion layers formed in the upper portions of the first and second fin-shaped silicon layers; and a step of depositing an interlayer insulating film, exposing and etching the first and second polysilicon gate electrodes, then depositing a metal, and forming first and second metal gate electrodes.

Journal ArticleDOI
TL;DR: In this paper, a robust method for epitaxial deposition of Au onto the surface of Ag nanostructures is demonstrated, which allows effective conversion of Ag nano-structures of various morphologies into Ag@Au counterparts, with the anisotropic ones showing excellent plasmonic properties comparable to the original Ag structures while significantly enhanced stability.
Abstract: A robust method for epitaxial deposition of Au onto the surface of Ag nanostructures is demonstrated, which allows effective conversion of Ag nano­structures of various morphologies into Ag@Au counterparts, with the anisotropic ones showing excellent plasmonic properties comparable to the original Ag nanostructures while significantly enhanced stability. Sulfite plays a determining role in the success of this epitaxial deposition as it strongly complexes with gold cations to completely prevent galvanic replacement while it also remains benign to the Ag surface to avoid any ligand-assisted oxidative etching. By using Ag nanoplates as an example, it is shown that the corresponding Ag@Au nanoplates possess remarkable plasmonic properties that are virtually Ag-like, in clear contrast to Ag@Au nanospheres that exhibit much lower plasmonic activities than their Ag counterparts. As a result, they display high durability and activities in surface-enhanced Raman scattering applications. This strategy may represent a general platform for depositing a noble metal on less stable metal nanostructures, thus opening up new opportunities in rational design of functional metal nanomaterials for a broad range of applications.

Journal ArticleDOI
TL;DR: In this article, a simple and low-cost method was developed to render 6061 aluminum alloy surface superhydrophobicity and excellent corrosion inhibition, which achieved an improved corrosion inhibition efficiency of 83.37% compared with the traditional two-step processing consisting of etching and modification.

Journal ArticleDOI
TL;DR: In this paper, the fabrication techniques of convex corner on {100} and {110} silicon wafers using anisotropic wet chemical etching are discussed. And the pros and cons of all these techniques are discussed as well as the shape and size of the compensating design strongly depend on the type of etchant, etching depth and the orientation of wafer surface.
Abstract: Wet anisotropic etching based silicon micromachining is an important technique to fabricate freestanding (e.g. cantilever) and fixed (e.g. cavity) structures on different orientation silicon wafers for various applications in microelectromechanical systems (MEMS). {111} planes are the slowest etch rate plane in all kinds of anisotropic etchants and therefore, a prolonged etching always leads to the appearance of {111} facets at the sidewalls of the fabricated structures. In wet anisotropic etching, undercutting occurs at the extruded corners and the curved edges of the mask patterns on the wafer surface. The rate of undercutting depends upon the type of etchant and the shape of mask edges and corners. Furthermore, the undercutting takes place at the straight edges if they do not contain {111} planes. {100} and {110} silicon wafers are most widely used in MEMS as well as microelectronics fabrication. This paper reviews the fabrication techniques of convex corner on {100} and {110} silicon wafers using anisotropic wet chemical etching. Fabrication methods are classified mainly into two major categories: corner compensation method and two-steps etching technique. In corner compensation method, extra mask pattern is added at the corner. Due to extra geometry, etching is delayed at the convex corner and hence the technique relies on time delayed etching. The shape and size of the compensating design strongly depends on the type of etchant, etching depth and the orientation of wafer surface. In this paper, various kinds of compensating designs published so far are discussed. Two-step etching method is employed for the fabrication of perfect convex corners. Since the perfectly sharp convex corner is formed by the intersection of {111} planes, each step of etching defines one of the facets of convex corners. In this method, two different ways are employed to perform the etching process and therefore can be subdivided into two parts. In one case, lithography step is performed after the first step of etching, while in the second case, all lithography steps are carried out before the etching process, but local oxidation of silicon (LOCOS) process is done after the first step of etching. The pros and cons of all techniques are discussed.