scispace - formally typeset
Search or ask a question

Showing papers on "Fabrication published in 2005"


Proceedings ArticleDOI
05 Dec 2005
TL;DR: The development of the world's first flexible RFCPUs (8bit, passive type) by adding to the CPU an antenna, an analog circuit, an encryption function and an RFID function, which operate using an RF signal with a frequency of 13.56MHz.
Abstract: On the basis of the fabrication of a CPU on glass as a digital circuit presented in B Lee et al (2003) and T Ikeda et al (2004), as well as the fabrication of a flexible CPU using a TFT transfer technology presented in T Takayama et al (2004), we have succeeded in the development of the world's first flexible RFCPUs (8bit, passive type) by adding to the CPU an antenna, an analog circuit, an encryption function and an RFID function, which operate using an RF signal with a frequency of 1356MHz

979 citations


Journal ArticleDOI
TL;DR: In this paper, the authors focus on the use of chemical design to control the structural attributes of polymer-mediated assembly of nanoparticles and describe applications of these engineered materials, including electronic device fabrication and catalysis.
Abstract: Nanoparticle-polymer composites are diverse and versatile functional materials, with applications ranging from electronic device fabrication to catalysis. This review focuses on the use of chemical design to control the structural attributes of polymer-mediated assembly of nanoparticles. We will illustrate the use of designed particles and polymers to create nanocomposites featuring interesting and pragmatic structures and properties. We will also describe applications of these engineered materials.

652 citations


Journal ArticleDOI
TL;DR: It is shown that by a lift-off technique, presintered porous layers can be transferred to an arbitrary second substrate, and the original electrical properties of the transferred porous layers are maintained.
Abstract: Dye-sensitized solar cells have established themselves as a potential low-cost alternative to conventional solar cells owing to their remarkably high power-conversion efficiency combined with ‘low-tech’ fabrication processes1,2. As a further advantage, the active layers consisting of nanoporous TiO2 are only some tens of micrometres thick and are therefore in principle suited for flexible applications. However, typical flexible plastic substrates cannot withstand the process temperatures of up to 500 ∘C commonly used for sintering the TiO2 nanoparticles together. Even though some promising routes for low-temperature sintering have been proposed3,4,5,6,7,8,9, those layers cannot compete as regards electrical properties with layers obtained with the standard high-temperature process. Here we show that by a lift-off technique, presintered porous layers can be transferred to an arbitrary second substrate, and the original electrical properties of the transferred porous layers are maintained. The transfer process is greatly assisted by the application of composite layers comprising nanoparticles and nanorods.

371 citations


Journal ArticleDOI
TL;DR: Kawata et al. as mentioned in this paper used a microlens array to produce multiple spots for parallel fabrication, giving rise to a revolutionary augmentation for their previously developed single-beam two-photon photopolymerization technology.
Abstract: A tightly focused femtosecond laser has been established as a unique tool for micronanostructure fabrication due to its intrinsic three-dimensional processing. In this letter, we utilize a microlens array to produce multiple spots for parallel fabrication, giving rise to a revolutionary augmentation for our previously developed single-beam two-photon photopolymerization technology [S. Kawata, H.-B. Sun, T. Tanaka, and K. Takada, Nature (London) 412, 697 (2001)]. Two- and three-dimensional multiple structures, such as microletter set and self-standing microspring array, are demonstrated as examples of mass production. More than 200 spot simultaneous fabrication has been realized by optimizing the exposure condition for the photopolymerizable resin, i.e., a two-order increase of yield efficiency. Potential applications of this technique are discussed.

267 citations


Journal ArticleDOI
TL;DR: This work advances a general route for facile fabrication of thin-film devices from solution by incorporating a glass-inducing diluent that enables controlled crystallization from an initial vitreous state of the organic semiconductor, formed in a selected area of the phase diagram of the two constituents.
Abstract: Electronic devices based on single crystals of organic semiconductors provide powerful means for studying intrinsic charge-transport phenomena and their fundamental electronic limits1–4. However, for technological exploitation, it is imperative not to be confined to the tedious growth and cumbersome manipulation of molecular crystals—which generally show notoriously poor mechanical properties—but to be able to process such materials into robust architectures by simple and efficient means. Here, we advance a general route for facile fabrication of thin-film devices from solution. The key beneficial feature of our process—and the principal difference from existing vapour deposition5–7 and solution-processing schemes7–10—is the incorporation of a glass-inducing diluent that enables controlled crystallization from an initial vitreous state of the organic semiconductor, formed in a selected area of the phase diagram of the two constituents. We find that the vitrifying diluent does not adversely affect device performance. Indeed, our environmentally stable, discrete rubrene-based transistors rival amorphous silicon devices, reaching saturated mobilities of up to 0.7 cm2 V−1 s−1, ON–OFF ratios of ≥106 and subthreshold slopes as steep as 0.5 V per decade. A nearly temperature-independent device mobility, indicative of a high crystalline quality of our solution-processed, rubrene-based films11, corroborates these findings. Inverter and ring-oscillator structures are also demonstrated.

246 citations


Journal ArticleDOI
TL;DR: In this paper, a two-step pyrolysis process with SU-8 photoresist as the starting material was developed to create high aspect ratio (>10:1) carbon posts, all-carbon suspended bridges and wires, self-organized bunches of carbon posts and carbon plates supported by carbon beams.
Abstract: A novel fabrication process was developed to create high aspect ratio (>10:1) carbon posts, all-carbon suspended bridges and wires, self-organized bunches of carbon posts, and carbon plates supported by carbon beams. The structures are all made from a two-step pyrolysis process with SU-8 photoresist as the starting material. In this paper we describe the fabrication of these various new C-MEMS structures and detail an important application of the high aspect ratio carbon posts arrays. The carbon post arrays can be reversible charged/discharged with Li ions, an application that may greatly impact the application of C-MEMS in three-dimensional microbatteries. Complex suspended C-MEMS structures, such as wires, plates, ribbons, and self-organized bunches of posts, were built. Methods to accurately and repeatedly fabricate all the above 3-D C-MEMS structures are given.

237 citations


Journal ArticleDOI
TL;DR: With this method, the central hollow-core and the holes in the cladding region can be selectively infiltrated, which allows for the fabrication of novel hybrid polymer- silica and liquid-silica MOFs for various applications.
Abstract: A simple method for fabricating selective injection microstructured optical fibers (MOFs) using a conventional fusion splicer is described. The effects of fusion current, fusion duration and offset position on the hole collapse property of the MOFs are investigated. With this method, the central hollow-core and the holes in the cladding region can be selectively infiltrated, which allows for the fabrication of novel hybrid polymer-silica and liquid-silica MOFs for various applications.

234 citations


Proceedings ArticleDOI
06 Mar 2005
TL;DR: In this paper, a sol-gel casting technique for fabricating microstructured optical fiber is discussed, both the advantages and challenges associated with this fabrication method are outlined and discussed.
Abstract: We discuss a sol-gel casting technique for fabricating microstructured optical fiber. Both the advantages and challenges associated with this fabrication method are outlined.

210 citations




Patent
Yosuke Shimamune1, Akira Katakami1, Akiyoshi Hatada1, Masashi Shima1, Naoyoshi Tamura1 
18 Apr 2005
TL;DR: In this article, a gate electrode is formed on a silicon substrate via a gate insulation film in correspondence to a channel region, source and drain regions of a p-type diffusion region formed in the silicon substrate at respective outer sides of sidewall insulation films of the gate electrode.
Abstract: A semiconductor device includes a gate electrode formed on a silicon substrate via a gate insulation film in correspondence to a channel region, source and drain regions of a p-type diffusion region formed in the silicon substrate at respective outer sides of sidewall insulation films of the gate electrode, and a pair of SiGe mixed crystal regions formed in the silicon substrate at respective outer sides of the sidewall insulation films in epitaxial relationship to the silicon substrate, the SiGe mixed crystal regions being defined by respective sidewall surfaces facing with each other, wherein, in each of the SiGe mixed crystal regions, the sidewall surface is defined by a plurality of facets forming respective, mutually different angles with respect to a principal surface of the silicon substrate.

Journal ArticleDOI
TL;DR: The influence of the mask design and the processing environment such as etching parameters and etch bath conditions on the formation of silicon microneedle structures (needle height up to 300 μm) and its reproducibility are demonstrated and single needle shear tests have been carried out.

Journal ArticleDOI
TL;DR: A simple and efficient optical interference method for fabricating high quality two- and three-dimensional (2D and 3D) periodic structures is demonstrated and the experimental results obtained with SU-8 photoresist are well in agreement with the theoretical predictions.
Abstract: A simple and efficient optical interference method for fabricating high quality two- and three-dimensional (2D and 3D) periodic structures is demonstrated. Employing multi-exposure of two-beam interference technique, different types of periodic structures are created depending on the number of exposure and the rotation angle of the sample for each exposure. Square and hexagonal 2D structures are fabricated by a multi-exposure of two-beam interference pattern with a rotation angle of 90 masculine and 60 masculine between two different exposures, respectively. Three-exposure, in particular, results in different kinds of 3D structures, with close lattice constants in transverse and longitudinal directions, which is difficult to be obtained by the commonly used multi-beam interference technique. The experimental results obtained with SU-8 photoresist are well in agreement with the theoretical predictions. Multi-exposure of two-beam interference technique should be very useful for fabrication of photonic crystals.

Journal ArticleDOI
TL;DR: This letter describes the fabrication of three-dimensional particulate-like hydrogel microstructures using a combination of soft lithography and volume expansion induced self-folding.
Abstract: This letter describes the fabrication of three-dimensional particulate-like hydrogel microstructures using a combination of soft lithography and volume expansion induced self-folding. Bilayer structures are produced by solvent casting and photocuring of liquid resins. They curl into three-dimensional (3D) structures upon contacting with water due to differential swelling of the two layers. The curvature can be controlled by adjusting the polymer composition of the primary swelling layer. A simple semiempirical mathematical model is used to predict this self-folding behavior. By designing the two-dimensional (2D) shapes of the bilayers, this technique can lead to complicated 3D microstructures.

Journal ArticleDOI
TL;DR: In this paper, the precursor formamide 1 was employed instead of the monomer 2 because isocyanides are relatively unstable in air at room temperature, and the oxidation of the polymer was performed in a mixture of solvents to ensure solubility of reagents and products throughout the process.
Abstract: The precursor formamide 1 was employed instead of the monomer 2 because isocyanides are relatively unstable in air at room temperature. [19] a) [20] Molecular modeling shows that (in common with the phenyl benzo-ate compounds previously described [17]) an angle exists between the planar phenoxy and TTF ring systems. [21] The oxidation of the polymer was performed in a mixture of solvents to ensure solubility of reagents and products throughout the process. The CD spectra of the polymer in THF and in CH 2 Cl 2 are essentially identical, but the Cotton effects observed in CH 2 Cl 2 :MeCN 7:3 are somewhat different, presumably owing to aggregation, as seen in other polymeric systems. See a) B.1 mol of TTF unit, taking the molecular weight of the monomer. The Fe III salt was spectrophotometri-cally titrated as ferric acetate in water prior to use; see: D. [23] For references on calculated and experimental UV-vis absorption of cation radicals and dications of TTF derivatives, see: a) Silicon nanowires are attractive building blocks for nano-scale electronic systems due to their compatibility with existing semiconductor technology. Studies have focused on their synthesis, [1±5] with considerable advances made in the control of structures, [6] electrical, [7,8] and thermal [9] properties. For practical applications, different strategies have been explored to fabricate nanowire-based devices. The pick-and-place approach [7,8,9] has succeeded in making individual devices such

Journal ArticleDOI
TL;DR: In this paper, an open-structure eigenvalue problem of substrate integrated waveguide (SIW) cavity structures is investigated in detail by using a finite-difference frequency-domain method, and the quality (Q) factor of such SIW cavities is given.
Abstract: An open-structure eigenvalue problem of substrate integrated waveguide (SIW) cavity structures is investigated in detail by using a finite-difference frequency-domain method, and the quality (Q) factor of such SIW cavities is given. Based on the concept of a defected ground structure, a new class of SIW cavity bandpass filters are designed, fabricated, and measured around 5.8 GHz. With their fabrication on standard printed circuit boards, such filters present the advantages of high-Q factor, high power capacity, and small size. Simulated and measured results are presented and discussed to show promising performances of the proposed filters.

Journal ArticleDOI
TL;DR: Single-crystalline diluted magnetic semiconductor GaN:Mn nanowires with controlled Mn concentrations have been successfully synthesized and incorporated into devices.
Abstract: Single-crystalline diluted magnetic semiconductor GaN:Mn nanowires with controlled Mn concentrations have been successfully synthesized and incorporated into devices. These nanowires exhibit Curie temperatures above room temperature, magnetoresistances near room temperature, and spin-dependent transport. The nanowires are used as building blocks for the fabrication of GaN:Mn/n-SiC based light-emitting diodes.

Journal ArticleDOI
TL;DR: A novel fabrication process based on successive wafer-level bonding and releasing steps for stacking several patterned layers of the negative photoresist EPON SU-8 to obtain complex 3-D multilayer structures that guarantees compatibility with CMOS electronics and MEMS.
Abstract: This paper describes a novel fabrication process based on successive wafer-level bonding and releasing steps for stacking several patterned layers of the negative photoresist EPON SU-8. This work uses a polyimide film to enhance previous low temperature bonding technology. The film acts as a temporary substrate where the SU-8 is photopatterned. The poor adhesion between the polyimide film and SU-8 allows the film to be released after the bonding process, even though the film is still strong enough to carry out photolithography. Using this technique, successive adhesive bonding steps can be carried out to obtain complex 3-D multilayer structures. Interconnected channels with smooth vertical sidewalls and freestanding structures are fabricated. Unlike previous works, all the layers are photopatterned before the bonding process yielding sealed cavities and complex three-dimensional structures without using a sacrificial layer. Adding new SU-8 layers reduces the bonding quality because each additional layer decreases the thickness uniformity and increases the polymer crosslinking level. The effect of these parameters is quantified in this paper. This process guarantees compatibility with CMOS electronics and MEMS. Furthermore, the releasing step leaves the input and the output of the microchannels in contact with the outside world, avoiding the usual slow drilling process of a cover. Hence, in addition to the straightforward integration of electrodes on a chip, this fabrication method facilitates the packaging of these microfluidic devices.

Patent
04 Feb 2005
TL;DR: In this paper, the authors present methods for fabrication of emitter wrap through (EWT) back-contact solar cells and cells made by such methods, including selective doping to holes for forming conductive vias by use of printed dopant pastes.
Abstract: Methods for fabrication of emitter wrap through (EWT) back-contact solar cells and cells made by such methods. Certain methods provide for higher concentration of dopant in conductive vias compared to the average dopant concentration on front or rear surfaces, and provided increased efficiency. Certain methods provide for selective doping to holes for forming conductive vias by use of printed dopant pastes. Other methods provide for use of spin-on glass substrates including dopant.

Journal ArticleDOI
TL;DR: A method that does not require deposition and transforms a nanoscale layer of a complex crystalline compound into a new material using low-energy ion-beam preferential etching (IBPE) is reported, implying wide potential applicability to fabrication of other nanolayers.
Abstract: Developing fabrication methods for electronically active nanostructures is an important challenge of modern science and technology. Fabrication efforts1,2,3,4 for crystalline materials have been focused on state-of-the-art epitaxial growth techniques. These techniques are based on deposition of precisely controlled combinations of various materials on a heated substrate. We report a method that does not require deposition and transforms a nanoscale layer of a complex crystalline compound into a new material using low-energy ion-beam preferential etching (IBPE). We demonstrate this method by transforming a widely used5,6,7,8,9,10 insulator model system, SrTiO3, into a transparent conductor. Most significantly, the resistivity decreases with decreasing temperature as ∼T2.5±0.3 and eventually falls below that of room-temperature copper. These transport measurements imply a crystal quality in the conduction channel comparable to that obtained1 with the highest-quality growth techniques. The universality of low-energy IBPE implies wide potential applicability to fabrication of other nanolayers.

Journal ArticleDOI
TL;DR: In this article, a single nickel-nitrogen (NE8) defect center in diamond by chemical vapor deposition is demonstrated. And the same authors used a Hanbury-Brown and Twiss interferometer to demonstrate the antibunching of single centers.
Abstract: Fabrication of single nickel-nitrogen (NE8) defect centers in diamond by chemical vapor deposition is demonstrated. Under continuous-wave 745nm laser excitation single defects were induced to emit single photon pulses at 797nm with a linewidth of 1.5nm at room temperature. Photon antibunching of single centers was demonstrated using a Hanbury–Brown and Twiss interferometer. Confocal images revealed approximately 106 optically active sites∕cm2 in the synthesized films. The controlled fabrication of an NE8 based single photon source in synthetic diamond is important for fiber based quantum cryptography, and potentially linear optics quantum computing.

Journal ArticleDOI
TL;DR: The fabrication technique presented facilitates production of simple and low-cost disposable pressure sensors by use of materials with that ensure the required biocompatibility.
Abstract: The fabrication and experimental investigation of a miniature optical fiber pressure sensor for biomedical and industrial applications are described. The sensor measures only 125 µm in diameter. The essential element is a thin polymer diaphragm that is positioned inside the hollow end of an optical fiber. The cavity at the fiber end is made by a simple and effective micromachining process based on wet etching in diluted HF acid. Thus a Fabry–Perot interferometer is formed between the inner fiber–cavity interface and the diaphragm. The fabrication technique is described in detail. Different sensor prototypes were fabricated upon 125 µm-diameter optical fiber that demonstrated pressure ranges from 0 to 40 and from 0 to 1200 kPa. A resolution of less than 10 Pa was demonstrated in practice. The fabrication technique presented facilitates production of simple and low-cost disposable pressure sensors by use of materials with that ensure the required biocompatibility.


Journal ArticleDOI
TL;DR: In this paper, the authors used electron beam lithography, reactive ion etching, and wet chemical etching steps followed by a drying procedure to obtain the helical angle, chirality, pitch and diameter of the hybrid helical nanobelts.
Abstract: Helical nanobelts of SiGe/Si and SiGe/Si/Cr are fabricated by rolling up strained thin heterostructures. The fabrication involved electron beam lithography, reactive ion etching, and wet chemical etching steps followed by a drying procedure. All parameters of the helical nanobelts, namely their helical angle, chirality, pitch and diameter, are controllable in a reproducible fashion. The ease of fabrication of SiGe/Si and hybrid helical nanobelts opens new paths for the fabrication technology of micro- or nanoscale sensors, transducers, resonators and cylindrical shaped micro-capacitors.

Journal ArticleDOI
TL;DR: The fabrication process and performance characteristics of a vertical-structured GaN-based light-emitting diode (VM-LED) employing nickel electroplating and patterned laser liftoff techniques are presented in this paper.
Abstract: The fabrication process and performance characteristics of a vertical-structured GaN-based light-emitting diode (VM-LED) employing nickel electroplating and patterned laser liftoff techniques are presented. As compared to regular LED, the forward voltage drop of the VM-LED at 20–80 mA is about 10%–21% lower, while the light output power (Lop) is more than twice in magnitude. Especially, the Lop exhibits no saturation or degradation at an injection current up to 520 mA which is about 4.3 times higher than that of the regular one. Substantial improvements in the VM-LEDs performances are mainly attributed to the use of metallic substrate which results in less current crowding, larger effective area, and higher thermal conductivity.

Journal ArticleDOI
TL;DR: In this paper, a method for the fabrication of metal nanowires by a combination of atomic force microscopy nanoscratching on a single-layer resist and lift-off process is reported.
Abstract: Ac onvenient method for the fabrication of metal nanowires by a combination of atomic force microscopy nanoscratching on a single-layer resist and lift-off process is reported. Various metal nanowires, including Au, Cu, Ni, Al, and Ti, with widths as small as 50 nm are successfully created .T he electrical resistivities of the nanowires have also been obtained an df ound to be in good agreement with reported results. (Some figures in this article are in colour only in the electronic version)


Journal ArticleDOI
TL;DR: In this paper, the authors present the fundamental studies of this research to identify embedding methods and working range for the fabrication of adaptive composite structures, which is targeted at the fabrication with the ability to measure external stimuli and respond by adapting their structure accordingly, through the action of embedded active and passive functional fibres within a freeform fabricated metal-matrix structure.
Abstract: Ultrasonic consolidation (UC) has been used to embed thermally sensitive and damage intolerant fibres within aluminium matrix structures using high frequency, low amplitude, mechanical vibrations. The UC process can induce plastic flow in the metal foils being bonded, to allow the embedding of fibres at typically 25% of the melting temperature of the base metal and at a fraction of the clamping force when compared to fusion processes. To date, the UC process has successfully embedded Sigma silicon carbide (SiC) fibres, shape memory alloy wires and optical fibres, which are presented in this paper. The eventual aim of this research is targeted at the fabrication of adaptive composite structures having the ability to measure external stimuli and respond by adapting their structure accordingly, through the action of embedded active and passive functional fibres within a freeform fabricated metal–matrix structure. This paper presents the fundamental studies of this research to identify embedding methods and working range for the fabrication of adaptive composite structures. The methods considered have produced embedded fibre specimens in which large amounts of plastic flow have been observed, within the matrix, as it is deformed around the fibres, resulting in fully consolidated specimens without damage to the fibres. The microscopic observation techniques and macroscopic functionality tests confirms that the UC process could be applied to the fabrication of metal–matrix composites and adaptive composites, where fusion techniques are not feasible and where a ‘cold’ process is necessary.

Journal ArticleDOI
TL;DR: In this paper, the authors investigated the use of the dip-coating technique to assemble one and zero-dimensional arrays on patterned templates using particles with diameters in the 15-50 nm range.
Abstract: Many potential applications in nanotechnology require virtually defect-free arrays of nanometre-scale particles over large areas. Guided self-assembly of colloidal particles on patterned templates has been shown to produce ordered arrays of colloidal particles. However, there is a need to extend this technique to particles measuring much less than 50 nm in size and to develop robust fabrication techniques that would lead to defect-free, large-area arrays. We have investigated the use of the dip-coating technique to assemble one- and zero-dimensional arrays on patterned templates using particles with diameters in the 15–50 nm range. Substrates with high-resolution groove or hole patterns were prepared with extreme-ultraviolet interference lithography (EUV-IL). Particle arrays with low defect density were achieved by adapting the deposition conditions (particle concentration, pH, dip speed and orientation). The experimental findings are explained with a model that describes the relative influences of the contributing forces in the assembly process. The driving force behind the assembly is found to be the capillary forces that organize the particles with respect to the pattern on the substrate and each other. The process does not seem to impose any inherent limitations on the defect density or the size of the particle arrays.

Journal ArticleDOI
TL;DR: In this article, the authors describe a system of silicon microneedle electrode arrays for electroporation with integrated temperature and fluidic system for drug delivery for cancer therapy, where the fabrication process for a drug delivery system with silicon micro-droneedle electrodes is presented.
Abstract: This paper describes a system of silicon microneedle electrode arrays for electroporation with integrated temperature and fluidic system for drug delivery. In this research we have developed microneedle fabrication processes in standard silicon wafer utilizing wet and dry etch technologies. A method to manufacture electrodes and temperature sensors on the bottom of the microneedle array allows monitoring of temperature changes during electroporation close to the tissue. These local metal pads with interconnections for voltage supply have been realized by employing thick photoresist technologies combined with sputtering. This approach enables the fabrication of microneedle electrode arrays with integrated sensors for cancer therapy. Hollow microneedles allow drug delivery during electroporation. A uniform drug release through hollow microneedle electrodes into tissue improves the injection of drugs and therefore the efficiency of the treatment. The design of the fluidic system was simulated using CoventorWare to ensure the uniform release of fluid volume on every hollow needle. The fabrication process for a drug delivery system with silicon microneedle electrodes is presented.