scispace - formally typeset
Search or ask a question

Showing papers on "Fault detection and isolation published in 1991"


Journal ArticleDOI
TL;DR: In this article, the best known residual generation methods in model-based fault detection and isolation, including parity equations, diagnostic observers and Kalman filtering, are presented in a consistent framework.

498 citations


Journal ArticleDOI
TL;DR: In this paper, a review of the state of the art in fault detection and isolation for dynamic systems based on the parity space concept is provided and tutorial examples are given to illustrate the theory.

313 citations


Journal ArticleDOI
01 Jul 1991
TL;DR: A multilayer perceptron network with a hyperbolic tangent as the nonlinear element seems best suited for the task of fault diagnosis in a realistic heat exchanger-continuous stirred tank reactor system.
Abstract: Fault detection and diagnosis is an important problem in process automation. Both model-based methods and expert systems have been suggested to solve the problem, along with the pattern recognition approach. A number of possible neural network architectures for fault diagnosis are studied. The multilayer perceptron network with a hyperbolic tangent as the nonlinear element seems best suited for the task. As a test case, a realistic heat exchanger-continuous stirred tank reactor system is studied. The system has 14 noisy measurements and 10 faults. The proposed neural network was able to learn the faults in under 3000 training cycles and then to detect and classify the faults correctly. Principal component analysis is used to illustrate the fault diagnosis problem in question. >

300 citations


01 Jan 1991
TL;DR: Network trained on single faults are able to accurately diagnose measurement patterns resulting from multiple faults in a large majority of the cases studied and performance during generalization improves with the extent of training.

241 citations


Proceedings ArticleDOI
Ron J. Patton1, Jie Chen1
11 Dec 1991
TL;DR: In this paper, the authors proposed the use of right eigenvector assignment of observers, which gives more freedom for achieving disturbance decoupling, and showed that the resulting deadbeat design is equivalent to the first-order parity space structure for residual generation.
Abstract: Developments in the eigenstructure assignment approach to robust fault detection are discussed. By suitable assignment of the eigenstructure of an observer, the residual signal is decoupled from disturbances. The main contribution of this work is the novel use of right eigenvector assignment of observers, which gives more freedom for achieving disturbance decoupling. It is shown that, when decoupling conditions are satisfied, the resulting deadbeat design is equivalent to the first-order parity space structure for residual generation. Two tutorial examples are presented to illustrate the disturbance decoupling property and the conditions under which left or right eigenvectors are assignable. >

210 citations


Journal ArticleDOI
TL;DR: In this paper, the authors describe a general methodology for fault detection for machines and other processes by using few measurements, dynamic process and signal models and parameter estimation to generate symptoms The detected symptoms are fed into a knowledge based fault diagnosis procedure.

164 citations


Patent
20 Dec 1991
TL;DR: In this article, an isolation transformer is used to provide RF power and the sensor signals are optically coupled to provide increased electrical isolation for the patient, and the current drawn from the battery is compared to a predetermined current level and if exceeded, a fault signal is generated.
Abstract: A system for thermal angioplasty provides electrical power to a heating coil located inside a dilatation balloon, receives a signal from a sensor at the coil indicating its temperature and also receives signals from balloon sensors indicating the temperature at their respective positions. An isolation transformer is used to provide RF power and the sensor signals are optically coupled to provide increased electrical isolation for the patient. The heating coil temperature sensor signal is compared to high and low temperature limits and if either is exceeded, a fault signal is generated. The sensor signals from the balloon are compared to predetermined high temperature limits and if exceeded, fault signals are generated. The current drawn from the battery is compared to a predetermined current level and if exceeded, a fault signal is also generated. Upon generation of any of the fault signals, the electrical power to the heating coil is interrupted by fault detection circuitry. An RF ON reset switch is provided to prevent the inadvertent application of RF power to the heating coil. Materials used in the connectors and in the RF generator for connections to the catheter electrical leads are compatible with those leads to avoid a thermocouple effect.

163 citations


Journal ArticleDOI
TL;DR: In this article, the most relevant methods to increase the robustness in both the stage of residual generation and residual evaluation are surveyed, among them, the generalized observer scheme, the robust parity space check, the unknown input and observer scheme and the decorrelation filter.

162 citations


Journal ArticleDOI
Ron J. Patton1
TL;DR: The aim of this article is to outline an review the state of the art and describe some of the studies of analytical methods of fault diagnosis procedures, based on fault monitoring in aircraft and spacecraft sensor systems.
Abstract: Performance requirements in aeronautics and the rapid growth of electronics, especially of digital computers, have gradually led to the combination of advanced control theories and fly-by-wire technology. This has resulted in designs for which the control systems are flight critical. The required reliability is usually achieved by a multiplication of sensors, computers and actuators accompanied by a voting system. The on-board computer provides the possibility of replacing the sensor hardware replication, which is very expensive, with a management of the functional or analytical redundancy constituted by the knowledge of the system. Different techniques have been proposed; the aim of this article is to outline an review the state of the art and describe some of the studies of analytical methods of fault diagnosis procedures, based on fault monitoring in aircraft and spacecraft sensor systems.

159 citations


Journal ArticleDOI
TL;DR: In this paper, a neural network-based incipient fault detector for small and medium-size induction motors is developed, which uses more readily available information such as rotor speed and stator current.
Abstract: A neural network-based incipient fault detector for small and medium-size induction motors is developed. The detector avoids the problems associated with traditional incipient fault detection schemes by employing more readily available information such as rotor speed and stator current. The neural network design is evaluated in real time in the laboratory on a 3/4 hp permanent magnet induction motor. The results of this evaluation indicate that the neural-network-based incipient fault detector provides a satisfactory level of accuracy, greater than 95%, which is suitable for real-world applications. >

144 citations


Proceedings ArticleDOI
11 Nov 1991
TL;DR: The difficult problem of identifying the equivalence of two faults, analogous to the problem of redundancy identification in ATPG, has been solved and the efficient algorithm is demonstrated by experimental results for a set of benchmark circuits.
Abstract: The authors present an efficient algorithm for the generation of diagnostic test patterns which distinguish between two arbitrary single stuck-at faults. The algorithm is able to extend a given set of test patterns which is generated from the viewpoint of fault detection to a diagnostic test pattern set with a diagnostic resolution down to a fault equivalence class. The difficult problem of identifying the equivalence of two faults, analogous to the problem of redundancy identification in ATPG, has been solved. The efficiency of the algorithm is demonstrated by experimental results for a set of benchmark circuits. DIATEST, the implementation of the algorithm, either generates diagnostic test patterns for all distinguishable pairs of faults or identifies pairs of faults as being equivalent for each of the benchmark circuits. >

Journal ArticleDOI
TL;DR: In this paper, a robust component fault detection observer (RCFDO) based on the decoupled model is designed to generate a residual which is not affected by the unknown inputs representing disturbances and model-plant mismatches but still reflects the occurence of faults.

Journal ArticleDOI
TL;DR: In this article, the authors explore the possibility of using neural networks to identify faults that can occur in an AC-DC power system and compare three types of neural network models, which can sense AC bus voltages either as root mean square (RMS) values with or without phase angle information or as sampled instantaneous values of sine waves.
Abstract: The authors explore the possibility of using neural networks to identify faults that can occur in an AC-DC power system. Three types of neural network models have been studied and are compared. These networks can sense AC bus voltages either as root mean square (RMS) values (with or without phase angle information) or as sampled instantaneous values of sine waves. Depending on which method is used, some confusion can occur in distinguishing a line to line fault from a remote AC fault. A delay of 1-2 cycles in detection of faults when using RMS values is expected due to the algorithm required for determining the RMS value. This may not be too critical in practice. However, where this delay is unacceptable, instantaneous values may be used. Based on the ability of these networks to distinguish reliably between different types of faults, appropriate control measures can be taken to improve the dynamic performance of the AC-DC power system. >

Journal ArticleDOI
TL;DR: In this paper, a novel approach for online detection of incipient faults in single-phase squirrel-cage induction motors through the use of artificial neural networks is presented, which is composed of two parts: (1) a disturbance and noise filter artificial neural network to filter out the transient measurements while retaining the steady-state measurements, and (2) a high-order incipient fault detection Artificial Neural Networks (ANN) to detect incipient failures in single phase Squirrel-cages induction motors based on data collected from the motor.
Abstract: A novel approach for online detection of incipient faults in single-phase squirrel-cage induction motors through the use of artificial neural networks is presented. The online incipient fault detector is composed of two parts: (1) a disturbance and noise filter artificial neural network to filter out the transient measurements while retaining the steady-state measurements, and (2) a high-order incipient fault detection artificial neural network to detect incipient faults in single-phase squirrel-cage induction motors based on data collected from the motor. Simulation results show that neural networks yield satisfactory performance for online detection of incipient faults in single-phase squirrel-cage induction motors. The neural network fault detection methodology presented is not limited to single-phase squirrel-cage motors (used as a prototype), but can also be applied to many other types of rotating machines, with the appropriate modifications. >

Journal ArticleDOI
TL;DR: In this paper, the problem of residual generation and evaluation for uncertain dynamic systems is formulated and investigated with the aid of frequency domain approaches and H∞- optimization techniques, based on the parametrization of residual generators, the design of optimal residual generators reduces to an optimization problem that is solved by H ∞-techniques.

Journal ArticleDOI
TL;DR: In this paper, an algorithm for the online detection and diagnosis of faults in automobile engine sensors and actuators, using the on-board microcomputer, is proposed based on the structured parity equation methodology, derived from an engine model having linear dynamics and static nonlinearities.

Journal ArticleDOI
TL;DR: In this paper, a novel method for the detection of high-impedance faults is proposed which uses the incremental variance of a normalized even order ratio measure, based on which three criteria, (even-order power, even-order ratio, and evenorder incremental variance) for fault detection are presented, all of which are based on the changes of normalized evenorder harmonic power in fault currents.
Abstract: A novel method for the detection of high-impedance faults is proposed which uses the incremental variance of a normalized even order ratio measure. Staged fault tests were extensively carried out in Korean electric power systems. From the analysis of the staged fault test data, it was found that there exists an intermittent arcing phenomenon in most high-impedance faults and that the waveforms of this arcing fault current have an asymmetrical shape in each cycle. Based on these facts, three criteria, (even-order power, even-order ratio, and even-order incremental variance) for fault detection are presented, all of which are based on the changes of normalized even-order harmonic power in fault currents. These criteria are compared through the analysis of staged fault data and normal switching event data. It is shown that the even-order incremental variance criterion is superior to the other two criteria and that, with this criterion, high-impedance faults can be distinguished from normal switching events, including special loads such as electric furnaces and subways. Microprocessor-based protective relays, which can detect high-impedance faults by using the proposed methods, have been constructed, installed in Korea Electric Power Corporation substations, and tested during the last two years. Details of these field tests are given. >

Journal ArticleDOI
TL;DR: In this paper, the focus is on redundancy management in fault detection and isolation with the emphasis on the parity space, and a model of a multiply redundant measurement system is presented, where the generation of residuals is considered, and the concept of parity space is explained.
Abstract: Some of the key concepts of sensor redundancy management are discussed and illustrated by simple examples. The focus is on redundancy management in fault detection and isolation with the emphasis on the parity space. A model of a multiply redundant measurement system is presented. The generation of residuals is considered, and the concept of parity space is explained. Failure detection, including sequential testing, is then addressed. >

Proceedings ArticleDOI
26 Oct 1991
TL;DR: The proposed system combines a simple single fault model for test generation with a more realistic multiple defect model for diagnosis, and the associated hardware is sufficiently simple that on-board implementation is possible.
Abstract: Recently there has been renewed interest in fault detection in static CMOS circuits through current monitoring (“Iddq testing”). It is shown that accurate defect (diagnosis miay be performed with a combination of current and voltage observations. The proposed system combines a simple single fault model for test generation with a more realistic multiple defect model for diagnosis. ‘The associated hardware is sufficiently simple that on-board implementation is possible.

Proceedings ArticleDOI
26 Oct 1991
TL;DR: Results presented for the ISCAS'85 benchmark circuits indicate that this test pattern generator is a practical solution to a problem that must be solved in order to detect the failures that occur in modern VLSI circuits.
Abstract: Test pattern generation for bridging faults has been considered impractical. This paper presents an accurate bridging fault test pattern generator Lhat requires only a gate-level implementation of the circuit. No transistorlevel simulations are required during test pattern generation. Results presented for the ISCAS'85 benchmark circuits indicate that this test pattern generator is 8 practical solution to a problem that must be solved in order to detect the failures that occur in modern VLSI circuits.

Proceedings ArticleDOI
26 Oct 1991
TL;DR: A two-stage procedure for locating V LSI faults is presented and an industrial implementation is reported in which faults were injected and diagnosed in a VLSI chip and the perjiormunce of two- stage fault location was measured.
Abstract: A two-stage procedure for locating VLSI faults is presented. The approach utilizes dynamic fault dictionaries, test set partitioning, and reduced fault lists to achieve a reduction in size and complexity over classic static fault dictionaries. An industrial implementation is reported in which faults were injected and diagnosed in a VLSI chip and the perjiormunce of two-stage fault location was measured.

Journal ArticleDOI
TL;DR: The authors propose a novel fault location algorithm for multiterminal parallel transmission lines that uses the same AC inputs as protective relays and uses only the magnitude of the differential currents at each terminal to simplify the H/W and S/W configurations of a fault locator.
Abstract: The authors propose a novel fault location algorithm for multiterminal parallel transmission lines. The proposed method uses the same AC inputs as protective relays and uses only the magnitude of the differential currents at each terminal. This makes it possible to simplify the H/W and S/W configurations of a fault locator since it is not required to synchronously collect the differential current data at each terminal. This algorithm consists of a basic three-terminal fault location algorithm and equivalent conversion to a three-terminal system from an n-terminal system. For a multifault occurring simultaneously at the same place on both lines as well as a single fault occurring at one place on one of the two lines, this algorithm is reasonably accurate. This was verified mathematically and examined by EMTP simulation. >

Journal ArticleDOI
TL;DR: A new method of fault detection using dynamic measurement signals which is suitable for larger systems is presented, by modelling the system as a Petri net, failures with very slow time constants are detectable.

Journal ArticleDOI
TL;DR: The authors compared two major approaches to the improvement of software-software fault elimination and software fault tolerance-by examination of the fault detection (and tolerance) of five techniques: run-time assertions, multiversion voting, functional testing augmented by structural testing, code reading by stepwise abstraction, and static data-flow analysis.
Abstract: The authors compared two major approaches to the improvement of software-software fault elimination and software fault tolerance-by examination of the fault detection (and tolerance, where applicable) of five techniques: run-time assertions, multiversion voting, functional testing augmented by structural testing, code reading by stepwise abstraction, and static data-flow analysis. The focus was on characterizing the sets of faults detected by the techniques and on characterizing the relationships between these sets of faults. Two categories of questions were investigated: (1) comparison between fault elimination and fault tolerance techniques and (2) comparisons among various testing techniques. The results provide information useful for making decisions about the allocation of project resources, show strengths and weaknesses of the techniques studies, and indicate directions for future research. >

Journal ArticleDOI
TL;DR: In this paper, a practical relay for detecting down-conductor high-impedance ground faults (HIGF) is described, with supporting theory and data from live line tests.
Abstract: A practical relay for detecting down-conductor high-impedance ground faults (HIGF) is described, with supporting theory and data from live line tests. The use of the third-harmonic current magnitude and the phase relation to the system voltage is shown to provide useful information for detecting this type of fault. By suppressing ambient harmonics and utilizing only the change in the harmonics, a fault current sensitivity of 1% of the feeder rating is shown to be feasible. Fault detection concepts include harmonic phase sequence components in the three-phase unit. Relays for both single-phase laterals and three-phase circuits are described. Redundant measurement techniques in both versions are shown to enhance the reliability of the system and to contribute to the feasibility of the noted sensitivity. >

Proceedings ArticleDOI
25 Jun 1991
TL;DR: A scheme for concurrent fault detection by recomputing and a fault-tolerant F FT processor using the scheme are proposed and an FFT processor with perfect shuffle is considered.
Abstract: A scheme for concurrent fault detection by recomputing and a fault-tolerant FFT processor using the scheme are proposed. An FFT processor with perfect shuffle is considered. The realization of the processor is based on a linear cellular automaton (LCA) model having the constant-weight and equidistance properties. When a fault occurs in the processor, the fault is detected concurrently and the processor is reconfigured by replacing the faulty butterfly unit with a normal one according to the state of the processor. The reconfiguration can be made within a clock period by making a state transition based on the LCA model and by reconnecting the butterfly units according to the new state. The processor can be reconfigured quickly, so that it can be used for highly reliable real-time data processing systems. >

Journal ArticleDOI
TL;DR: In this paper, an algorithm for detecting power system faults and estimating the pre and post-fault steady state values of the voltages and currents is described, based on the Kalman filter and hypothesis testing.
Abstract: An algorithm for detecting power system faults and estimating the pre and post-fault steady state values of the voltages and currents is described. The proposed algorithm is based on the Kalman filter and hypothesis testing. It is shown that a power system fault is ideally suited for single sample hypothesis testing. The performance of the proposed technique is examined in connection with the protection of parallel transmission lines. The proposed technique avoids many problems of parallel line protection. The simplicity and the avoidance of complicated software and hardware in addition to the stability of the relay under different switching conditions are some of the features of the proposed technique. Studies performed on a long double-circuit transmission line with and without series compensation show a trip time in all cases of around 5 ms. >

Journal ArticleDOI
TL;DR: In this paper, a real-time application of detection filters to the diagnosis of sensor failures in automotive engine control systems is presented, which utilizes analytical redundancy within a dynamical system to isolate the cause and location of abnormal behavior.
Abstract: The real-time application of detection filters to the diagnosis of sensor failures in automotive engine control systems is presented. The detection filter is the embodiment of a model-based failure detection and isolation (FDI) methodology, which utilizes analytical redundancy within a dynamical system to isolate the cause and location of abnormal behavior. The philosophy and essential features of FDI theory are presented, and the practical application of the method to the diagnosis of faults in some key sensors in an electronically controlled internal combustion engine is described. The experimental results presented here have been obtained on a production vehicle, and demonstrate that the real-time implementation of such detection filters is feasible, opening the way to a new generation of diagnostic strategies. >

Journal ArticleDOI
TL;DR: A method for the derivation of fault signatures for the detection of faults in single-output combinational networks is described, which uses the arithmetic spectrum instead of the Rademacher-Walsh spectrum as a form of data compression to reduce the volume of response data at test time.
Abstract: A method for the derivation of fault signatures for the detection of faults in single-output combinational networks is described. The approach uses the arithmetic spectrum instead of the Rademacher-Walsh spectrum. It is a form of data compression that serves to reduce the volume of the response data at test time. The price which is paid for the reduction in the storage requirements is that some of the knowledge of exact fault location is lost. The derived signatures are short and easily tested using very simple test equipment. The test circuitry could be included on the chip since the overhead involved is comparatively small. The test procedure requires a high-speed counter cycling at maximum speed through selected subsets of all input combinations. Hence, the network under test is exercised at speed, and a number of dynamic errors that are not testable by means of conventional test-set approaches will be detected. >

Journal ArticleDOI
TL;DR: This paper addresses issues central to the design and operation of an ultrareliable, Byzantine resilient parallel computer by treating connectivity as a resource that is shared among many processing elements, allowing flexibility in their configuration and reducing complexity.
Abstract: This paper addresses issues central to the design and operation of an ultrareliable, Byzantine resilient parallel computer. Interprocessor connectivity requirements are met by treating connectivity as a resource that is shared among many processing elements, allowing flexibility in their configuration and reducing complexity. Redundant groups are synchronized solely by message transmissions and receptions, which aslo provide input data consistency and output voting. Reliability analysis results are presented that demonstrate the reduced failure probability of such a system. Performance analysis results are presented that quantify the temporal overhead involved in executing such fault-tolerance-specific operations. Empirical performance measurements of prototypes of the architecture are presented. 30 refs.