scispace - formally typeset
Search or ask a question

Showing papers on "Fault indicator published in 2003"


Proceedings ArticleDOI
02 Nov 2003
TL;DR: In this paper, the main faults, in general not covered in these systems, are the transistor open circuit fault and the dc bus capacitor fault, and their diagnosis and remedial strategies are listed.
Abstract: Status monitoring and performance diagnosis for variable speed ac drives today is a need, more or less, depending on their application. Diagnosis can help to avoid unplanned standstill, to make possible to run an emergency operation in case of a fault or to keep the time to repair short in case of a fault. For the voltage source inverter several faults are possible. In this paper, these faults and their diagnosis are covered. Possible faults and remedial strategies are listed. It is enumerated, which faults in today's standard protection systems are diagnosed. The main faults, in general not covered in these systems, are the transistor open circuit fault and the dc bus capacitor fault. In these fields diagnosis methods are under research. Some of the various reports of research groups in this field are outlined here as a survey with respect to function and properties.

235 citations


Proceedings ArticleDOI
12 Oct 2003
TL;DR: A control strategy that provides fault tolerance to the major sensor faults which may occur in an interior-permanent-magnet-motor (IPMM)-based electric vehicle propulsion drive system is presented.
Abstract: This work presents a control strategy that provides fault tolerance to the major sensor faults which may occur in an interior-permanent-magnet-motor (IPMM)-based electric vehicle propulsion drive system Failures of a position sensor, a dc-link voltage sensor, and current sensors are all included in the study assuming no multiple faults For each possible sensor fault, a corresponding method of detection or diagnosis is provided Additionally, once the fault is detected, the control scheme is automatically reconfigured to provide post-fault operational capability A state observer is used to provide missing current information in the case of current sensor faults Experimental results demonstrate the effectiveness of both the fault detection algorithm and the reconfigurable control scheme The resulting IPMM drive system proves to be resilient to sensor failures while providing smooth transition to the post-fault operational mode

234 citations


Proceedings ArticleDOI
01 Sep 2003
TL;DR: An ATPG tool is introduced that generates multiple-detect test patterns while maximizing the coverage of node-to-node bridging defects, and the experimental results from the project show that it demonstrates its robustness and adaptability.
Abstract: This paper presents the impact of multiple-detect test patterns on outgoing product quality. It introduces an ATPG tool that generates multiple-detect test patterns while maximizing the coverage of node-to-node bridging defects. Volumedata obtained by testing a production ASIC with these new multiple-detect patterns shows increased defect screening capability and very good agreement with the bridging coverage estimated by the ATPG tool. 1. Introduction One of the key objectives of manufacturing test is to ensure high quality of shipped parts while managing the cost of test. Scan–based DFT methodology, combined with ATPG tools, automate the generation of test patterns with very high fault coverage. The advantage ofa structure-based ATPG tool is its high efficiency and effectiveness in generating a test set by targeting different fault models, such as stuck-at, transition, path delay, and DDQ . DFT tooI ls assess the quality of test patterns by reporting the fault coverage of the target fault models. However, real defects may not always be detected by test patterns generated for the targeted fault model. The stuck-at fault model has been used in DFT ince sthe very beginning and, while showing some limitations and imperfections, it has demonstrated its robustness and adaptability. Even though the stuck-at fault model may not always model behavior of a faulty circuit it serves very well as a target, i.e. a test set developed to test stuck-at faults will also cover many other defects that do not behave as stuck-at faults. Good understanding of bridging defects is at the center of explanation of the effectiveness of the stuck-at fault model. It also provides the key clues to its enhancements. In an experimental study of bridging faults in a state of the art microprocessor design [1] it has been observed that approximately 80% of all bridges occur between a node and Vcc or Vss, and 20% involve nonsupply nod- es. Global signals were involved in 70% of these defects and leaf-level signals contributed only 30%. In another experimental evaluation of scan tests for bridging defects [2] it was concluded that bridges with power rails contributed between 60% to 90% of all bridging defects. It is clear that a test that detect a stuck-at fault on a node willdetect a low resistive bridging defect with the supply lines. This is exactly the behavior of a node stuckat- -0 or stuckat- -1. However, the detection of node-to-node bridging defects is not guaranteed. If a stuckat fault on a node is detected once, the- probability f detecting a static bridging fault witho another un-correlated node that has signal probability 50% is also 50% [3].If the stuck at fault is detected- twice, the estimated probability of detecting the bridging fault with another node acting as an aggressor is 75%. Signal correlation may reduce the coverage of nodeto-node bridging faults. It was- observed [1] that a test set with greater than 95% stuck-at fault coverage produced only 33% coverage of nodeto-node bridging faults. Most likely the- disappointing coverage was an artifact of signal correlation. Typically a test set created by conventional ATPG aiming at single detection may have up to 6% of faults detected only once and up to 10% of faults detected only once or twice. This may result in inadequate coverage of nodeto-node -bridging defects. In general, there are two directions to overcome the limitation and improve the test quality. One direction is to enhance the fault model by describing the defect behavior and presenting it in a suitable form to the ATPG tool. In this case the fault model is more precise and complex and the fault list s longer. Thei advanced fault models, like bridging faults and cross-talk effects, use physical layout information to compile the fault lists. A complete example of this approach is demonstrated in [2]. Here the possible bridges are identified by analysis of layout using weighted critical area and their behavior is modeled by different types of faults and a special netlist. The experimental results from the project show that

173 citations


Journal ArticleDOI
12 Oct 2003
TL;DR: In this article, the authors proposed a method for detecting bearing faults via stator current, which is robust to many influences including variations in supply voltage, cyclical load torque variations, and other (nonbearing) fault sources.
Abstract: This research proposes a method for detecting developing bearing faults via stator current Current-based condition monitoring offers significant economic savings and implementation advantages over vibration-based techniques This method begins by filtering the stator current to remove most of the significant frequency content unrelated to bearing faults Afterwards, the filtered stator current is used to train an autoregressive signal model This model is first trained while the bearings are healthy, and a baseline spectrum is computed As bearing health degrades, the modeled spectrum deviates from its baseline value; the mean spectral deviation is then used as the fault index This fault index is able to track changes in machine vibration due to developing bearing faults Due to the initial filtering process, this method is robust to many influences including variations in supply voltage, cyclical load torque variations, and other (nonbearing) fault sources Experimental results from 10 different bearings are used to verify the proficiency of this method

125 citations


Journal ArticleDOI
TL;DR: In this paper, a new adaptive fault protection scheme for transmission lines using synchronized phasor measurements is presented, which includes fault detection, direction discrimination, classification and location, and fault location indices are derived by using two-terminal synchronized measurements incorporated with distributed line model and modal transformation theory.
Abstract: This paper presents a new adaptive fault protection scheme for transmission lines using synchronized phasor measurements. The work includes fault detection, direction discrimination, classification and location. Both fault detection and fault location indices are derived by using two-terminal synchronized measurements incorporated with distributed line model and modal transformation theory. The fault detection index is composed of two complex phasors and the angle difference between the two phasors determines whether the fault is intemal or external to the protected zone. The fault types can be classified by the modal fault detection index. The proposed scheme also combines on-line parameter estimation to assure protection scheme performance and to achieve adaptive protection. Extensive simulation studies show that the proposed scheme provides a fast relay response and high accuracy in fault location under various system and fault conditions. The proposed method responds very well with regard to dependability, security and sensitivity (high-resistance fault coverage).

116 citations


Journal ArticleDOI
TL;DR: It is shown that the filter recovers the geometric structure of the unknown input observer in the limit where the weighting on the nuisance fault transmission goes to infinity, and the asymptotic behavior of the filter near the limit is determined by using a perturbation method.

112 citations


Patent
Timothy James Moorhouse1
15 Jul 2003
TL;DR: In this paper, a fault diagnosis system for complex equipment is presented, which includes means for storing a set of diagnostic signatures which relates a set known faults which may occur in the equipment to respective fault symptoms which are deemed indicative of said known faults.
Abstract: A fault diagnosis system for diagnosing faults in complex equipment. The system includes means for storing a set of diagnostic signatures which relates a set of known faults which may occur in the equipment to respective fault symptoms which are deemed indicative of said known faults; and means for processing the diagnostic signatures and a set of fault symptoms identified for a current state of the equipment to calculate diagnostic data for identifying a fault causing the current state of the equipment. The diagnostic data includes a plurality of values which are indicative of different relative non-zero likelihoods of each of a plurality of different known faults causing the current state of the equipment.

104 citations


Journal ArticleDOI
K.-S. Lee1, J.-S. Ryu1
24 Jul 2003
TL;DR: In this paper, an instrument fault detection isolation scheme (IFDIS) for direct-torque-control-based induction motor drives is proposed, which consists of an adaptive gain scheduling observer as a residual generator and a special sequential test logic unit.
Abstract: The effects of instrument faults in direct-torque-control-based induction motor drives are analysed and an instrument fault detection isolation scheme (IFDIS) for the drives is proposed. The IFDIS detects and isolates the incipient fault(s) of a speed sensor and current sensors in real-time. The scheme consists of an adaptive gain scheduling observer as a residual generator and a special sequential test logic unit. Although the IFDIS is a single observer scheme, it has the function of fault isolation that normally only a multiple-estimator-based IFDIS possesses. Simulation results show the detection and isolation performance of the IFDIS and the applicability of the scheme to fault tolerant control system design.

94 citations


Journal ArticleDOI
TL;DR: In this paper, a new Bayesian belief network (BBN) model with discretized nodes is proposed for fault detection and identification in a single sensor, which exploits the probabilistic information of the multisensor model, along with the proposed threshold setting procedure, leads to effective detection of faulty sensors.
Abstract: A new Bayesian belief network (BBN) model with discretized nodes is proposed for fault detection and identification in a single sensor. The single-sensor model is used as a building block to develop a BBN model for all sensors in the process considered. A new fault detection index, a fault identification index, and a threshold setting procedure for the multisensor model are introduced. The fault detection index exploits the probabilistic information of the multisensor model, which, along with the proposed threshold setting procedure, leads to effective detection of faulty sensors. The fault identification index uses only the probabilistic information of the faulty sensor to determine in a discretized fashion the size of faults that should be analyzed within a moving time window to identify the fault type. Single-sensor model design parameters (prior and conditional probability data) are optimized to achieve maximum effectiveness in detection and identification of sensor faults. The single-sensor model and optimal values of design parameters are used to develop a multisensor BBN model for a polymerization reactor at steady-state conditions. Its capabilities to detect and identify bias, drift, and noise in sensor readings are illustrated for single and simultaneous multiple faults by several case studies.

74 citations


Journal ArticleDOI
TL;DR: This work presents a novel method to simultaneously detect and classify faults in a single-step using fault-specific control charts designed to discriminate between specific fault classes and the normal process operation as well as all other fault classes.
Abstract: bEigenvector Research, Incorporated, Manson, Washington 98831, USA Increasingly there is a need for fast, accurate, and sensitive detection of equipment and process faults to maintain high process yields and rapid fault classification ~diagnosis! of the cause in order to minimize tool downtime in semiconductor manufacturing. Current methods treat fault detection and classification as a two-step process. We present a novel method to simultaneously detect and classify faults in a single-step using fault-specific control charts. These control charts are designed to discriminate between specific fault classes and the normal process operation as well as all other fault classes. Using a set of experimental data collected from an industrial plasma etcher, we demonstrate that, if the fault-specific charts are constructed using an orthogonal linear discriminant approach, they are effective in simultaneously detecting and classifying a given fault. We also demonstrate that this methodology has improved sensitivity for detection of faults when compared to other commonly used methods of fault detection.

72 citations


Patent
19 Jun 2003
TL;DR: In this article, fault information relating to a fault associated with the operation of guest software is received and a determination is made as to whether the fault information satisfies one or more fault filtering criteria.
Abstract: In one embodiment, fault information relating to a fault associated with the operation of guest software is received. Further, a determination is made as to whether the fault information satisfies one or more fault filtering criteria. If the determination is positive, the guest software is permitted to disregard the fault.

Proceedings ArticleDOI
30 Sep 2003
TL;DR: A simulator for resistive-bridging and stuck-at faults based on electrical equations rather than table look up is presented, thus, exposing more flexibility and interaction of fault effects in current time frame and earlier time frames is elaborated on.
Abstract: We present a simulator for resistive bridging and stuck-at faults In contrast to earlier work, it is based on electrical equations rather than table look-up, thus exposing more flexibility For the first time, simulation of sequential circuits is dealt with; reciprocal action of fault effects in current time frame and earlier time frames is elaborated on for different bridge resistances Experimental results are given for resistive bridging and stuck-at faults in combinational and sequential circuits Different definitions of fault coverage are listed and quantitative results with respect to all these definitions are given for the first time

Proceedings ArticleDOI
01 Sep 2003
TL;DR: Experimental results from circuits specially implemented to evaluate a new technique for detecting delay faults in scan based designs establish the signGCant potential of the proposed new delay testing approach.
Abstract: This paper presents experimental results from circuits specially implemented to evaluate a new technique for detecting delay faults in scan based designs. The faults are detected by observing circuit outputs at multiple capture intervals, each progressively shorter than the nominal switching delay for the logic block. For this study a simple datapath circuit was designed and fabricated through MOSIS. Extra capacitive delays were deliberately introduced in a copy of the design. The test results presented here clearly establish the signGCant potential of the proposed new delay testing approach.

Patent
07 Mar 2003
TL;DR: In this paper, a transient fault detection system and method is provided that facilitates improved fault detection performance in transient conditions, which includes a Hidden Markov Model detector that receives sensor data during transient conditions and determines if a fault has occurred during the transient conditions.
Abstract: A transient fault detection system and method is provided that facilitates improved fault detection performance in transient conditions. The transient fault detection system provides the ability to detect symptoms of engine faults that occur in transient conditions. The transient fault detection system includes a Hidden Markov Model detector that receives sensor data during transient conditions and determines if a fault has occurred during the transient conditions. Detected faults can then be passed to a diagnostic, system where they can be passed as appropriate to maintenance personnel.

Journal ArticleDOI
TL;DR: In this paper, a fault location principle using one terminal voltage and current data for EHV transmission lines is described, which is based on distributed parameter line model, breaking through the traditional single-ended fault location ideas.
Abstract: A new fault location principle using one terminal voltage and current data for EHV transmission lines is described in this paper, which is based on distributed parameter line model, breaking through the traditional single-ended fault location ideas. The voltage profile along the healthy line could be calculated using single-ended voltage and current data; however, the voltage profile behind the fault point is not true for a faulted line. Even though, notice the fact that the norm value of derivative function of the "fictitious profile" to distance is minimum at fault point, based on which the fault location function is constructed. The numerical algorithm is also described; this principle is proved by EMTP simulations to be immune to fault resistance, fault types, and fault inception angle. Theoretically, the accuracy of the principle is proportional to the sampling rate of the locator.

Proceedings ArticleDOI
25 May 2003
TL;DR: Automation of power system fault identification using information conveyed by the wavelet analysis ofPower system transients is proposed and the Probabilistic Neural Network (PNN) for detecting the type of fault is used.
Abstract: Automation of power system fault identification using information conveyed by the wavelet analysis of power system transients is proposed. The Probabilistic Neural Network (PNN) for detecting the type of fault is used. The work presented in this paper is focused on identification of simple power system faults. Wavelet Transform (WT) of the transient disturbance caused as a result of the occurrence of a fault is performed. The detail coefficient for each type of simple fault is characteristic in nature. PNN is used for distinguishing the detail coefficients and hence the faults.

Proceedings ArticleDOI
09 Dec 2003
TL;DR: In this article, a method for reconstructing simultaneous actuator and sensor faults using sliding mode observers is presented, building on previous work, which considered the two cases separately, and applying an actuator fault methodology from previous work to the fictitious system.
Abstract: This paper presents a method for reconstructing simultaneous actuator and sensor faults using sliding mode observers, building on previous work, which considered the two cases separately. Appropriate filtering of the system's output yields a 'fictitious system' whose 'actuator faults' are the original actuator and sensor faults. Applying an actuator fault methodology from previous work to the fictitious system, accurate reconstructions of the actuator and sensor faults can be obtained.

Journal ArticleDOI
TL;DR: In this paper, the authors examined the problem of locating faults that may occur anywhere in multi-ring electrical distribution networks, which may affect the reliability of power distribution and developed a fault location method based on using artificial neural network techniques.

Journal ArticleDOI
TL;DR: In this article, numerical magnetic field analysis is used for predicting the performance of an induction motor and a slip-ring generator having different faults implemented in their structure, using modern signal processing techniques to get a reliable indication of the fault.
Abstract: Numerical magnetic field analysis is used for predicting the performance of an induction motor and a slip‐ring generator having different faults implemented in their structure. Virtual measurement data provided by the numerical magnetic field analysis are analysed using modern signal processing techniques to get a reliable indication of the fault. Support vector machine based classification is applied to fault diagnostics. The stator line current, circulating currents between parallel stator branches and forces between the stator and rotor are compared as media of fault detection.

Patent
24 Jul 2003
TL;DR: In this paper, a system and method that provides improved fault detection in turbine engines is disclosed, which provides the ability to detect symptoms of engine faults based on a relatively limited number of engine parameters that are sampled relatively infrequently.
Abstract: A system and method that provides improved fault detection in turbine engines is disclosed. The fault detection system provides the ability to detect symptoms of engine faults based on a relatively limited number of engine parameters that are sampled relatively infrequently. The fault detection system includes a sensor data processor that receives engine sensor data during operation and augments the sensor data. The augmented data set is passed to a fuzzy logic inference system that determines the likelihood that a fault has occurred. The inference system output can then be passed to a diagnostic system where evaluation of the output may yield a detailed diagnostic result and a prediction horizon.

01 Jan 2003
TL;DR: A novel application of neural network approach to protection of transmission line is demonstrated and results of performance studies show that the proposed neural network- based module can improve the performance of conventional fault selection algorithms.
Abstract: A novel application of neural network approach to protection of transmission line is demonstrated in this paper Different system faults on a protected transmission line should be detected and classified rapidly and correctly This paper presents the use of neural networks as a protective relaying pattern classifier algorithm The proposed method uses current signals to learn the hidden relationship in the input patterns Using the proposed approach, fault detection, classification and faulted phase selection could be achieved within a quarter of cycle An improved performance is experienced once the neural network is trained sufficiently and suitably, thus performing correctly when faced with different system parameters and conditions Results of performance studies show that the proposed neural network- based module can improve the performance of conventional fault selection algorithms In this paper, a new scheme is proposed for fast and reliable fault detection and phase selection The proposed method uses an artificial neural network-based scheme Various transient system faults are modeled and an ANN- based algorithm is used for recognition of these patterns Performance of the proposed scheme is evaluated using various fault types and encouraging results are obtained It is shown that the algorithm is able to perform fast and correctly for different combinations of fault conditions, eg fault type, fault resistance, fault inception angle, fault location, prefault power flow direction and system short circuit level

Journal ArticleDOI
TL;DR: In this article, a method for directional ground-fault indication that utilizes the fundamental frequency voltages and currents is presented. But the fault has zero-sequence components that distinguish it from the load.
Abstract: Locating ground faults is a difficult and challenging problem for low-voltage power systems that are ungrounded or have high-impedance grounding. Recent work in pilot signals has renewed efforts in developing fault location methodologies. This paper presents a method for directional ground-fault indication that utilizes the fundamental frequency voltages and currents. Although the ground-fault current is small and usually less than the load currents, the fault has zero-sequence components that distinguish it from the load. Signal processing techniques are used to identify and compare the fault signals to determine the fault direction. The process takes advantage of the currents flowing from the distributed grounding capacitance. An experimental microprocessor-based directional indicator unit is tested in an industrial power distribution system. Directional indication of ground faults is applied near tap-off branch circuit connections. Promising results from field test conducted in a harmonic-noisy setting are presented. Directional indicator units simplify the search process on large networks, thus reducing the time and effort necessary to locate and remove the fault, and thereby significantly reduces the probability of a second ground fault with its destructive currents.

Patent
31 Jan 2003
TL;DR: In this paper, a control system for automatically reconnecting power to customers in a distribution automation multi-feed power network on a fault disconnecting customers in the network is presented, where each switch in the system has an intelligent switch controller which results in a faster restoration time which reduces customer down time.
Abstract: The present invention relates to a control system for automatically reconnecting power to customers in a distribution automation multi-feed power network on a fault disconnecting customers in the network. This invention provides the simple switching architecture with local control means which are implemented in the event of a fault. Each switch in the system has an intelligent switch controller which results in a faster restoration time which reduces customer down time in the event of a fault in the network thereby increasing customer satisfaction, reducing maintenance costs and increasing utility revenue.

Proceedings ArticleDOI
Zhuo Li1, Xiang Lu1, Wangqi Qiu1, Weiping Shi1, Duncan M. Walker1 
27 Apr 2003
TL;DR: A circuit level model for resistive open and bridge faults and a general resistive bridge delay calculation method are proposed, which are practical and easy to use.
Abstract: Delay faults are an increasingly important test challenge. Traditional open and bridge fault models are incomplete because only the functional fault or a subset of delay fault are modeled. In this paper, we propose a circuit level model for resistive open and bridge faults. All possible fault behaviors are illustrated and a general resistive bridge delay calculation method is proposed. The new models are practical and easy to use. Fault simulation results show that the new models help the delay test to catch more bridge faults.

Patent
09 Jan 2003
TL;DR: In this paper, a method of monitoring equipment of an agricultural machine includes taking a process computer and connecting the same to at least one sensor measuring an operational characteristic of the agricultural machine.
Abstract: A method of monitoring equipment of an agricultural machine includes taking a process computer and connecting the same to at least one sensor measuring an operational characteristic of the agricultural machine. The process computer evaluates the data received from the sensor and checks whether they indicate a fault of the agricultural machine. In this case, the data may be below and/or above a predetermined threshold. When such as fault or error occurs, the process computer submits a fault message to a remote station using a communications interface. The fault message contains a fault information identifying a type of the fault.

Proceedings ArticleDOI
13 Oct 2003
TL;DR: Instead of developing a complex diagnostic algorithm for multiple fault behavior, this work changes the test sets used in test and diagnosis to apply a simple single-fault based diagnostic algorithm, and achieves very good diagnosability for the failure test cases caused by multiple faults.
Abstract: We study the relationship between multiple fault diagnosability and fault detection count. Instead of developing a complex diagnostic algorithm for multiple fault behavior, we change the test sets used in test and diagnosis. This allows us to apply a simple single-fault based diagnostic algorithm, and yet achieve very good diagnosability for the failure test cases caused by multiple faults. We have verified experimentally the effectiveness of n-detection tests for multiple-fault cases and explained the results in probabilistic terms.

Journal ArticleDOI
TL;DR: Development of data-driven system models using Group Method of Data Handling (GMDH), Principal Component Analysis (PCA) and Adaptive Network-based Fuzzy Inference System (ANFIS) for fault detection and isolation of sensors and field devices is presented.

Journal ArticleDOI
TL;DR: It will be shown that conventional memory tests do not necessarily detect its dynamic faulty behavior, which has been shown to exist in real designs, and the paper also presents new memory tests to target the dynamic fault class.
Abstract: The ever increasing trend to reduce DPM levels of memories requires tests with very high fault coverage and low cost. This paper describes an important fault class, called dynamic faults, that cannot be ignored anymore. The dynamic fault behavior can take place in the absence of the static fault behavior, for which the conventional memory tests have been constructed. The concept of dynamic fault will be established and validated for both dynamic and static Random-Access-Memories. A systematic way to develop fault models for dynamic faults will be introduced. Further, it will be shown that conventional memory tests do not necessarily detect its dynamic faulty behavior, which has been shown to exist in real designs. The paper therefore also presents new memory tests to target the dynamic fault class.

Proceedings ArticleDOI
25 May 2003
TL;DR: This paper presents the results of resistive fault insertion in the core-cell array and in the address decoder of the Infineon 0.13 /spl mu/m embedded-SRAM family and the conditions for maximum fault detection are discussed.
Abstract: This paper presents the results of resistive fault insertion in the core-cell array and in the address decoder of the Infineon 0.13 /spl mu/m embedded-SRAM family. Resistive opens defects were the primary target of this study because of their growing importance in VDSM technologies. Electrical simulations have been performed to evaluate the effects of resistive opens in terms of functional faults detected and verify the presence of timing-dependent faults. Read disturb, deceptive read disturb and dynamic read disturb faults have been reproduced and accurately characterized. The dependence of the fault detection on memory operating conditions, injected resistance value and clock speed have been investigated and the importance of speed testing for dynamic fault models is emphasized. Finally resistive address decoder open faults (ADOF) have been simulated and the conditions for maximum fault detection are discussed as well as the resulting implications for memory test.

Proceedings ArticleDOI
07 Oct 2003
TL;DR: In this article, the authors compared rotor fault detection techniques of squirrel cage induction machines such as motor current signature analysis, Park's vector, motor power, torque, search coils, vibrations and acoustic emissions.
Abstract: This contribution compares rotor fault detection techniques of squirrel cage induction machines such as motor current signature analysis, Park's vector, motor power, torque, search coils, vibrations and acoustic emissions. Innumerable papers have been published about those techniques so far. Yet, the assessment of the severity of the actual fault has not received much attention. The term severity refers to the grade of electrical asymmetry of the rotor. This paper examines fault assessment and the respective specification. The objective is to compare those rotor fault assessment techniques which reflect the state of the art. A wide range of literature is cited in order to provide appropriate references. The authors also present and compare some measuring results in order to illustrate the investigated techniques.